功能描述

1、采用51/52单片机(通用)作为主控芯片;
2、采用超声波传感器检测人员距离,精度1毫米;
3、采用LCD1602显示"当前人员距离"和"合理距离";
4、可通过按键设置合理距离的上限H和下限L;
5、当距离大于H值或小于L值,开启蜂鸣器。​

电路设计

采用Altium Designer作为电路设计工具。Altium Designer通过把原理图设计、PCB绘制编辑、拓扑逻辑自动布线、信号完整性分析和设计输出等技术的完美融合,为设计者提供了全新的设计解决方案,使设计者可以轻松进行设计,熟练使用这一软件必将使电路设计的质量和效率大大提高。

单片机管脚说明:

P0端口(P0.0-P0.7):P0口为一个8位漏极开路双向I/O口,每个引脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口,用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3端口(P3.0-P3.7):P3口管脚是一个带有内部上拉电阻的8位的双向I/O端口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。P3口同时为闪烁编程和编程校验接收一些控制信号。

仿真设计

采用Proteus作为仿真设计工具。Proteus是一款著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。

主程序设计

void main(void)  //主函数
{LCD1602_init();//液晶初始化//0123456789ABCDEFLCD1602_Disp_ZF(0x80,"  Now S:     CM ",16);//0123456789ABCDEFLCD1602_Disp_ZF(0x80+0X40,"Set H     L     ",16);TMOD=0x11;           //设T0为方式1,GATE=1;TH0=0;             //定时器清零TL0=0;             //定时器清零TH1=0xf8;        //2MS定时TL1=0x30;           //2MS定时ET0=1;             //允许T0中断ET1=1;              //允许T1中断TR1=1;             //开启定时器EA=1;               //开启总中断EA=0;//关闭中断//SET_H = ISP_READ(0x2c00)*256+ISP_READ(0x2c01);//读取存储的最大值//SET_L = ISP_READ(0x2c02)*256+ISP_READ(0x2c03);//读取存储的最小值EA=1; //开启总中断while(1)//函数循环{while(!RX);          //当RX为零时等待TR0=1;               //开启计数while(RX);            //当RX为1计数并等待TR0=0;             //关闭计数Conut();  //计算显示距离函数/if((S>SET_H)||(S<SET_L)) //水位过低过高报警,{LED=0;SPEAK=0; //报警}else {LED=1;SPEAK=1; //不报警}if(!KEY1) //切换设置最大值和最小值{Delay_ms(10);//延时去抖动if(!KEY1)//切换设置最大值和最小值{KEY_flag++;    //模式选择//ISP_ERASE(0x2c00);      //注意:字节编程时必须要先要擦除整个扇区    //ISP_PROGRAM(0x2c00, SET_H/256);   //记忆存储//ISP_PROGRAM(0x2c01, SET_H);//记忆存储//ISP_PROGRAM(0x2c02, SET_L/256);//记忆存储//ISP_PROGRAM(0x2c03, SET_L);   //记忆存储}while(!KEY1);//等待按键抬起}

源文件获取

关注公众号-电子开发圈,首页发送 “坐姿” 获取;

基于单片机的坐姿提醒系统设计(#0475)相关推荐

  1. 基于单片机的坐姿(提醒)矫正系统设计

    博主福利:100G+电子设计学习资源包! http://mp.weixin.qq.com/mp/homepage?__biz=MzU3OTczMzk5Mg==&hid=7&sn=ad5 ...

  2. 【分享】基于单片机嵌入式的家用智能节水淋浴控制器的设计-基于单片机的电子贺卡控制系统设计-基于单片机的倒计时牌控制系统设计-基于单片机的彩灯控制器系统设计-多模式彩灯-单片机的八路路数字电压表控制设计

    1334基于单片机嵌入式的家用智能节水淋浴控制器的设计-全套毕设课设设计资料 三个ds18b20分别采集进水口热水  冷水 和温水的水温,然后分别显示出来,按下开始按键,系统初始化,自动设置出水温度为 ...

  3. 【毕业设计】基于单片机的火灾报警系统设计与实现 - 物联网 stm32

    文章目录 1 简介 2 绪论 2.1 课题背景与目的 3 烟雾传感器介绍 3.1 类型 3.2 MQ系列传感器介绍 3.3 模块介绍 4 系统设计 4.1 自诊断故障报警功能 4.2 烟雾浓度显示 4 ...

  4. 基于单片机的家庭火灾警报系统设计

    word完整版可点击如下下载>>>>>>>> 基于单片机的家庭火灾警报系统设计.rar-单片机文档类资源-CSDN下载1.资源内容:毕业设计lun-we ...

  5. c语言车库计费系统,基于单片机智能车库计费系统设计.doc

    基于单片机智能车库计费系统设计 基于单片机智能车库计费系统设计 摘要: 经济发展使得汽车的普及程度越来越高,车辆停放计费是车库管理的重要环节.51单片机是经典的单片机产品,具有结构简单.性能稳定和成本 ...

  6. 红外报警c语言,基于单片机的红外报警系统设计 (毕业论文).docx

    基于单片机的红外报警系统设计 (毕业论文) 基于单片机的红外报警系统设计 摘要:随着社会经济的发展,人们生活水平的不断提高,与此同时对人生安全和财产安全提到了一个新的高度,盗窃.入室抢劫等刑事案件的多 ...

  7. java你如何保证事务的完整性_基于Java工作事务提醒系统设计.doc

    基于Java工作事务提醒系统设计 基于Java工作事务提醒系统设计 摘要:为了减少工作遗漏和提高工作效率,在原有的办公信息管理基础上,应用Java编程技术和数据库技术,提出了工作事务提醒系统的设计思路 ...

  8. 110报警声+单片机c语言,基于单片机的红外报警系统设计毕业论文.docx

    基于单片机的红外报警系统设计 摘要:随着社会经济的发展,人们生活水平的不断提高,与此同时对人生安全和财产安全提到了一个新的高度,盗窃.入室抢劫等刑事案件的多发使得人们越来越渴望有一个安全生活的空间.传 ...

  9. 基于单片机的TLC稳压电源系统设计-基于单片机大脑运算能力智力测试仪-基于单片机超声波测距系统仿真设计(报告 PCB 原理图)-基于单片机超高精度电参数测试设计-基于单片机变电站变压器运行参数监测仿真

    1316基于单片机的TLC稳压电源系统设计-毕设课设仿真资料 三极管射极电压是稳压电源的输出电压,可以接用电器或负载,这个电压值通过TLC549(A/D,同TLC548)数据转换后,送往单片机处理并显 ...

最新文章

  1. Hadoop入门连接
  2. mysql分析表锁,MySQL锁分析和监控
  3. win10雷电3接口驱动_雷电3 ,高速又多用,尽在一个接口
  4. sql 除以_不可思议的SQL排名函数,被您无视了么?
  5. 【转】漫画:Bitmap算法
  6. java使用Pattern、Matcher调用正则表达式
  7. 检查服务产生的core文件并做短信处理(shell)
  8. 使用airdrop在iphone与ubuntu之间共享文件
  9. Windows 10 运行、CMD 命令大全
  10. 三星530换固态硬盘_笔记本电脑换固态硬盘+装系统
  11. [963]Android app代理软件
  12. 【C语言】创建各类三角形图案
  13. 微软官网免费下载win10系统
  14. uni-app引用第三方插件(根据银行卡卡号查询银行类型和卡类型)
  15. python 标签云_Python中文标签云之pytagcloud
  16. 2022必看花展 IFEX昆明国际花卉园艺展,新展期11月11-13日
  17. 类的定义,成员函数和内置成员函数
  18. 算法分析:在象棋算式里,不同的棋子代表不同的数,有以下算式,设计一个算法求这些棋子各代表哪些数字。
  19. Android MagicIndicator系列之一 —— 使用MagicIndicator打造千变万化的ViewPager指示器
  20. 创意大会与当地学校持续游戏

热门文章

  1. 基因组序列genbank格式和fasta格式批量下载
  2. oracle核销预付账款,AP模块外币预付款核销的CNY尾差问题
  3. Linux查看网卡的运行情况,NETSTAT - 查看网络运行情况。
  4. 技术拯救的网瘾少年,安全盒子王松的执念 | 宅客故事
  5. 每天进步一点之灵魂拷问14之网络连接2
  6. 对抗网易相册不能贴图(解决)
  7. 4-9-6 tf.keras入门(附带复现cvpr论文流程与代码)
  8. 32位和64位程序的区别
  9. 中国膜产业需求规模与投资潜力分析报告2022版
  10. 杂谈---名言警句记录