基于单片机智能车库计费系统设计

基于单片机智能车库计费系统设计   摘要: 经济发展使得汽车的普及程度越来越高,车辆停放计费是车库管理的重要环节。51单片机是经典的单片机产品,具有结构简单、性能稳定和成本低廉的特点,在控制系统中应用广泛。本文对车库计费问题进行了研究,以单片机为主控单元设计进行智能车库计费系统设计,系统操作简单、功能丰富,实现了自动计费、信息查询和状态显示等多项功能,具有良好的实用和推广价值。

Abstract: Car is becoming more popular by development of economic. Parking charge is an important part of the garage management. 51 MCU is a classic single-chip product which has the features of simple structure, stable performance and low-cost, and is used widely in control systems. Garage billing issues are researched in this paper, a smart garage billing system is designed with 51 MCU as the main controller unit. The system has simple operation, rich features to fulfill functions of automatic charge, information inquiry and status display with good practical and promotional value.

关键词: 单片机;停车计费;系统设计

Key words: micro control unit;parking charge;system design

中图分类号:C931.6 文献标识码:A 文章编号:1006-4311(2013)14-0209-02

0 引言

随着经济发展和社会进步,城市的汽车保有量也在逐年提高,汽车停放与计时收费已经成为了广大车主和相关管理单位十分关注的问题。目前国内现代化城市的建设步伐正在不断加快,住宅小区、企事业单位和超市、宾馆等城市公共设施多数都配套有相应的停车场所,收费管理也逐渐被社会理解和接受。智能车库计费系统可以增强停车场对场内车辆的管理,缓解车辆停放所带来的道路拥堵和潜在的安全问题。51单片机自问世以来一直都是单片机领域的经典产品之一,凭借结构简单、应用方便和价格适中等特点,在工业自动化、智能仪表、消费电子类产品和通信设备中应用广泛。本文对车库计费系统进行了研究,使用51单片机作为硬件系统控制中心,设计了一个具有智能功能的计费系统,实现了车位感应、车辆导航、入库计费、信息查询和票据打印等功能。

1 系统组成

1.1 硬件系统组成 智能车库计费系统在车辆驶入车库前需要对车辆进行车位感知、信息登记,主要是记录车辆的入库时间,在车辆驶入车库时需要设置指示灯对驾驶员停车路线和位置进行导航,在硬件系统组成上主要包括如下部分:

①红外线发射和接收电路。该部分的主要作用是用于车库车辆数量计算和车辆在车库中的停车位引导。车辆进出车库时对信号进行计数,对可用车位给出信号灯提示。

②门禁电机控制电路。该部分的主要作用是在车库有空位时对车辆放行,在车库车位已满时对关闭车库入口。通过三相异步电动机的转向决定横杆的上升和下降。

③中心主控电路。该部分的主要作用是将单片机的数据总线和控制总线与外围接口电路进行连接,通过指令对信号进行控制和处理,统筹车库计费系统运行。

④信息显示电路。该部分的主要作用是将计费系统的提示信息进行显示,包括时间信息、车位信息和费用信息在显示屏上进行显示,显示的信息关联系统的相关电路状态。

⑤票据打印电路。该部分的作用是通过票据打印模块接收停车时间和计费标准等信息,将数据在小票上打出,小票通过唯一编号和车辆进行关联。

⑥视频监控电路。该部分的主要作用是通过监控探头对车库的入口和出口进行视频监控,抓拍车辆的车型和车牌图像。

1.2 软件系统组成 智能停车计费系统在软件设计上采用C Sharp语言和MSSQL数据库进行,系统采用C/S架构设计。工作人员通过软件系统对车库日常运行进行操作和维护,管理人员通过软件系统对一段时间的车库运营数据进行查询和统计。智能车库计费系统的软件模块如下:

①登录模块。登录模块的基本功能是根据不同权限赋予用户不同的系统功能,记录操作员的

c语言车库计费系统,基于单片机智能车库计费系统设计.doc相关推荐

  1. 基于单片机的水壶自动加热系统_基于单片机智能电水壶控制系统设计毕业设计(论文).doc...

    您所在位置:网站首页 > 海量文档 &nbsp>&nbsp计算机&nbsp>&nbsp人工智能 基于单片机智能电水壶控制系统设计毕业设计(论文).do ...

  2. 基于单片机的智能电子密码锁系统设计-基于51单片机酒精浓度检测仪设计-基于单片机的水缸加热温控控制系统设计-基于单片机蓝牙技术的温室监测系统设计-基于单片机智能PWM调光灯系统设计【毕设方案】

    600基于单片机的智能电子密码锁系统设计-电路程序资料 本设计采用的是AT89C51单片机作为控制核心,控制外围电路工作的装置.在本次基于单片机的电子密码锁设计中,将采用AT89C51单片机作为控制核 ...

  3. 基于单片机智能垃圾桶控制系统设计(毕业设计资料)

    摘要: 自动感应垃圾桶由电路芯片控制,由红外线检测装置和机械电子驱动系统组成.感应垃圾桶利用红外线感应原理,只要有物体接近感应区范围内,桶盖便会自动开启,物体或手离开感应区数秒后桶盖会自动关闭,不需要 ...

  4. 【电路方案】基于单片机智能市电温度控制系统设计-基于单片机RGB颜色智能识别系统设计-基于单片机四路红外遥控开关电路设计-基于单片机自行车自动防盗报警系统设计-基于单片机智能无线病床呼叫系统设计

    822基于单片机智能无线病床呼叫系统设计-设计资料下载 硬件构成:单片机+最小系统+LCD1602液晶显示模块+无线收发模块+蜂鸣器模块+LED指示灯模块+按键模块 本设计基于STC89C51/52( ...

  5. 【电路】基于单片机智能睡眠枕系统设计-基于单片机音乐喷泉制作设计-基于单片机智能温控风扇调速系统设计-基于单片机智能自动循迹小车控制系统设计-基于数字电路的4人投票系统设计(仿真,报告)毕设课设资料

    1620基于单片机智能睡眠枕系统设计-文档+PPT+PCB图+原理图+源码 智能睡眠枕主要由lcd屏幕.蓝牙.压力传感器.蜂鸣器.单片机等等组成,用户可通过四个独立按键进行操控(四个按键从左至右为1. ...

  6. 单片机c语言出租车计时程序,基于单片机的出租车计费(c语言).doc

    基于单片机的出租车计费(c语言) 基于单片机的出租车计费(c语言) 基于proteus仿真 一.设计要求: 1.用实现出租车计费器的设计. 出租车起步开始计程和计费,计程系统按实际公里数计程,计费系统 ...

  7. 基于单片机HX711电子秤自动计价系统设计-基于单片机GPRS远程测控系统设计-818基于单片机循迹避障无线遥控蓝牙智能小车-基于单片机24s倒计时篮球积分器系统-基于单片机智能洗衣机控制系统

    816基于单片机HX711电子秤自动计价系统设计 本设计使用高精度电阻应变式压力传感器,用A/D转换器HX711(HX711数据手册)对传感器信号进行调理转换.AT89s52(AT89s52数据手册) ...

  8. c语言小车倒车程序,基于单片机的汽车倒车系统设计(超声测距报警)(C语言程序)...

    基于单片机的汽车倒车系统设计(超声测距报警)(C语言程序)(任务书,毕业论文22000字) 摘要 本设计是以单片机技术为基础,实现对前方物体距离的测量.根据超声波指向性强,能量消耗慢,在介质中传播距离 ...

  9. c语言怎么实现电梯控制系统设计,基于单片机的电梯控制系统设计.doc

    PAGE 本科生毕业论文(设计) 题 目: 基于单片机的电梯控制系统设计 姓 名: 学 院: 工学院 专 业: 自动化 班 级: 学 号: 指导教师: 职称: 副教授 2012 目 录 TOC \o ...

最新文章

  1. Python基础02-Python基础
  2. 旋转矩阵中6保6_40岁阿姨发明新型手推车,可以360度旋转,干活效率提升6倍
  3. java oop_Java实现OOP(面向对象编程)
  4. 推荐一个网站拿下机器学习优质资源!搜索效率极大提高
  5. The whole Fiori application is wrapped in a big shell xml view
  6. I. Space Station(hash记忆化+dp)
  7. OSError: [Errno 22] Invalid argument:**
  8. [UE4]OnComponentBeginOverlap.AddDynamic 的编译错误
  9. 【网络安全工程师面试合集】—Web安全攻防技术演化
  10. 开源维护者,必有一战!
  11. 美国全国步枪协会遭 Grief 勒索软件攻击
  12. 通道的分离与合并,ROI,
  13. Mysql常用的存储引擎
  14. 什么是大数据(转自知乎)
  15. 《南方周末》今日发文揭开了这场抢票插件阻击战的内幕
  16. Bitwig Studio 4.0.1 x64 Windows+Linux 音乐制作宿主软件
  17. 9 Kong LVS 均衡负载
  18. html怎么绑定数据,06、如何在html中绑定数据
  19. 小人快跑之WPF基础——图形与动画(二)
  20. Cannot resolve reference to bean sqlSessionFactory while setting bean property sqlSessionFactory

热门文章

  1. 计算机关机又自动重启,为什么w7电脑关机后自动重启_w7电脑关机后自动重启怎么解决...
  2. java 防止反射_Java设计模式(一):单例模式,防止反射和反序列化漏洞
  3. sqli-labs less11 POST注入-字符型
  4. mysql5 7选路径_MySQL 5.5/5.6/5.7及以上版本安装包安装时如何选择安装路径
  5. SQLite在指定列后面插入字段_个人学习系列 - 防止MySQL重复插入数据
  6. Spring Boot log4j2 configuration example
  7. bzoj1055玩具取名——区间DP
  8. 各种数据结构性能的比较
  9. js Math用法jquery是否为空对象判断
  10. AC日记——字符串位移包含问题 1.7 19