快时钟到慢时钟有周期要求,不能太密集,至少间隔一个慢周期

//Synchronous
module tongbu(input           clka,input           clkb,input           rst_n,input           pulse_ina,output          pulse_outb,output          signal_outb
);//-------------------------------------------------------
reg             signal_a;
reg     [1:0]   signal_b_r;//-------------------------------------------------------
always @(negedge rst_n or posedge clka)begin
if(!rst_n)beginsignal_a<=0;
end
else if(pulse_ina)signal_a<=1;
else if(signal_b_r[0]==1)signal_a<=0;
elsesignal_a<=signal_a;
endalways @(negedge rst_n or posedge clkb)begin
if(!rst_n)beginsignal_b_r<=0;
end
else signal_b_r<={signal_b_r[0],signal_a};
end
assign pulse_outb=~signal_b_r[1] & signal_b_r[0];
assign signal_outb=signal_b_r[1];
endmodule

tb:

`timescale 1ns/1ns
module tongbu_tb();
reg clka,clkb,a,rst;
wire b,signal_outb;tongbu tongbu1(.clka(clka),.clkb(clkb),.pulse_ina(a),.pulse_outb(b),.rst_n(rst),.signal_outb(signal_outb));initial begin
clka=1;
clkb=1;
a=0;
rst=1;
#2 rst=0;
#1 rst=1;
#22 a=1;
#10 a=0;//#55 a=1;
//#60 a=0;
#300 $finish;
end
always #5 clka=~clka;
always #10 clkb=~clkb;endmodule

结果:

verilog 1bit跨时钟同步器相关推荐

  1. 【Verilog】跨时钟域设计Clock Domain Crossing Design(Multi cycle path formulation with feedback acknowledge)

    上次写了跨时钟域设计MCP公式不带反馈的实现[Verilog]跨时钟域设计Clock Domain Crossing (CDC) Design(MCP formulation without feed ...

  2. #Verilog HDL# 跨时钟域电路设计之结绳法(3)

    信号从快时钟域到慢时钟域过渡时,慢时钟可能无法对快时钟变化太快的信号进行采样. 之前的同步器法对两个时钟间的关系有要求,结绳法适用于任何时钟域之间的过渡. 结绳法的原理是将快时钟信号的脉冲周期延长,等 ...

  3. IC常用知识6-信号跨时钟同步

    文章目录 1. 单bit信号跨时钟域传输 1.1 电平同步器 1.2 边沿检测器 1.3 脉冲同步器 1.4 电平延展-快到慢 2. 多bit信号跨时钟域传输 2.1. 握手 2.2. 异步FIFO ...

  4. VL48-使用Verilog解决多bit MUX同步器—慢时钟域同步到快时钟域(多bit跨时钟域),快时钟同步使能端并通过该使能端控制输出数据

    多bit MUX同步器:慢时钟域同步到快时钟域(多bit跨时钟域) 第一部分,题目描述及要求 1,题目要求 2,解题思路 第二部分,代码 1,RTL代码 2,RTL代码生成的门级网表 3,测试代码 4 ...

  5. FPGA数字IC刷题58道Verilog题解代码及视频讲解【FPGA探索者】【同步/异步FIFO】【跨时钟】

    牛客 Verilog 刷题入门篇1~24 + 进阶篇1~34 题解代码,所有代码均能通过测试,配合视频讲解效果更佳.为避免内容冗余,本文只给出代码,部分题目给出必要说明. 很多题目本身出题有些问题,着 ...

  6. 跨时钟域方法(同步器、异步FIFO、边沿检测器、脉冲同步器、同步FIFO)

    目录 1.跨时钟域方法的原因 2.跨时钟处理的两种思路 3.跨时钟域分类--单比特信号跨时钟 3.1.1慢时钟---快时钟.(满足三边沿准则,有效事件可以被安全采样) 3.1.2慢时钟---快时钟.( ...

  7. 跨时钟域信号处理(二)——异步fifo的Verilog实现(附同步fifo的实现)

    需要回答几个问题: 1.什么是异步FIFO,异步FIFO有什么功能? 跨时钟域的数据交换,防止亚稳态. 2.在产生写满与读空信号时需要进行跨时钟域如何做的,且如何能正确指示空满状态? 寄存器打两拍+格 ...

  8. 跨时钟域传输和Verilog代码

    文章目录 基本概念 一.单bit信号 1.慢时钟域信号同步到快时钟域 2.快时钟域信号同步到慢时钟域 3.结绳法处理单bit信号跨时钟域 二.多bit控制信号跨时钟域同步 三.多bit数据流跨时钟域同 ...

  9. FPGA知识点汇总(verilog、数字电路、时序分析、跨时钟域、亚稳态)

    FPGA十分擅长同时做简单且重复的工作(并行计算)人工智能就有许多重复性.需要并行计算的工作如模式识别.图像处理,在通信领域,FPGA的低延时.可编程.低功耗的特点 开发流程:RTL设计,仿真验证,逻 ...

最新文章

  1. 【案例】城市地址三级联动
  2. 经历过这三个NLP项目的洗礼,成功拿下NLP算法Offer!
  3. 算法:程序设计之并查集
  4. The FLARE On Challenge
  5. golang 释放内存机制的探索
  6. stick和stuck的区别_怎样区别“stick to”、“stick with”和“stick by”这三个表达?...
  7. 前端白屏问题_深入理解前端性能监控
  8. java 父子线程 调用链_ZipKin原理学习--Zipkin多线程及线程池中追踪一致性问题解决...
  9. GridView类容器中的DropDownList联动
  10. autojs toast 可以改变字体颜色吗_你手中的渠道货够硬吗?看完这期 OFF WHITE x AIR JORDAN 5 对比,你就知道了!...
  11. NSObject的load和initialize方法(转)
  12. 设计模式之十三:适配器模式(Adapter)
  13. Linux之yum安装MySQL
  14. BI(商务智能)系统简介
  15. 农夫山泉CIO分享SAP HANA数据库实施经验
  16. 哈夫曼树和哈夫曼编码
  17. matlab制作钟表,利用Matlab制作钟表实例教程
  18. 不同类型的轴承受力简介
  19. PHP经典高级工程师面试题
  20. 算法:递归(汉诺塔)

热门文章

  1. 1190 -- 找x
  2. ADS学习:统计分析——灵敏度分析、良率优化
  3. ping检测网络连接异常
  4. css3怎么做多边形,CSS | 实现有趣的多边形
  5. ai人工智能大爆发_人工智能解释了大爆炸之前发生的事情
  6. 成功必须靠自己去争取。
  7. 最近在搞TAM TIM
  8. 51单片机“叮咚”门铃
  9. 什么是知识图谱?通俗易懂
  10. 2022IC秋招面经分享【禾赛科技·FPGA开发工程师(上海)】