typora-root-url: ./

【毕业设计】51-基于Multisim的篮球比赛24秒倒计时计时器的设计(仿真工程+相关芯片资料+答辩论文)

文章目录

    • typora-root-url: ./
  • 【毕业设计】51-基于Multisim的篮球比赛24秒倒计时计时器的设计(仿真工程+相关芯片资料+答辩论文)
    • 设计说明书
      • 摘要
      • 设计框架架构
      • 设计说明书及设计文件

设计说明书

摘要

本设计电路由秒脉冲电路、计数电路、译码显示电路和报警电路等五个模块组成。其中计数器和控制电路是系统的主要模块。需要实现的功能有、秒脉冲发生、计数、译码、暂停、启动、复位、报警的功能。当启动开关闭合时,555振荡器将产生的信号送至计数器的信号输入端,减计数器开始工作,完成二十四秒计时功能。

本论文基于Multisim篮球比赛24秒倒计时计时器的设计就是众多计时电路中的一种——篮球24秒倒计时器。它由脉冲产生电路、计数译码显示电路和控制电路三部分组成。电路的工作原理是:由秒脉冲发生电路产生标准1HZ的时钟信号进行计数,每1s计数器在上一个基础上进行减1,数码管显示剩余时间,当减到0时,定时时间到,报警电路工作,输出报警信号。对于定时器的启动、暂停、报警功能由控制开关单元来完成,可以方便的进行不同工作。
资料链接
资料包含:毕业设计全套资料(精品)
仿真工程文件
仿真截图
答辩最终论文低重复率,24236字
芯片资料
论文相关参考整理资料

设计框架架构

前 言… 1

第一章 绪论… 2

第一节 研究背景… 2

第二节 研究意义… 3

第三节 研究现状… 3

第四节 本文的主要研究内容… 4

第五节 本章小结… 5

第二章 篮球比赛24秒倒计时器的系统原理分析… 6

第一节 系统硬件电路功能需求… 6

一、系统硬件电路功能分析… 6

二、硬件电路功能需求框架… 6

第二节 系统硬件电路分析… 7

第三节 本章小结… 8

第三章 篮球比赛24秒倒计时器的系统硬件设计… 9

第一节 仿真软件的介绍… 9

一、Multisim的概述… 9

二、Multisim软件的特点… 9

三、Multisim的基本界面… 10

四、Mulitisim的元件库… 12

五、对元件的操作… 13

六、绘图的基本操作… 16

第二节 暂停控制电路… 17

第三节 置数和启动控制电路… 18

第四节 一秒脉冲发生电路… 20

一、555芯片介绍… 20

二、多谐振荡器电路… 22

第五节 译码显示电路… 26

一、七段发光二极管(LED)数码管… 26

二、74LS48介绍… 27

第六节 计数与复位电路… 29

一、计数器… 29

二、计时电路的组成… 31

第七节 报警电路… 34

第八节 本章小结… 35

第四章 总电路的设计与仿真… 36

第一节 仿真与验证… 36

第二节 仿真结果… 38

一、电路调试… 38

二、仿真启动和报警功能… 38

三、仿真复位功能… 40

四、仿真暂停功能… 41

第三节 本章小结… 43

结 论… 44

致 谢… 46

参考文献… 47

附 录… 48

一、英文原文… 48

二、英文翻译… 51

设计说明书及设计文件



【毕业设计】51-基于Multisim的篮球比赛24秒倒计时计时器的设计(仿真工程+相关芯片资料+答辩论文)相关推荐

  1. 时序逻辑电路的设计(二) -- 篮球比赛24秒倒计时电路(附Multisim)

    一.实验任务及要求 1. 设计要求 篮球比赛中,进攻一方取得球权后双方开始比赛,若24秒到,该进攻一方仍然没有投球时,需要交换发球权.本实验要求设计一个24秒违例计时器(倒计时方式),当计时24秒时间 ...

  2. 【毕业设计】36-基于单片机的酒精浓度车载酒精测试仪设计(原理图工程+仿真工程源代码+实物图+答辩论文+答辩PPT)

    typora-root-url: ./ [毕业设计]36-基于单片机的酒精浓度/车载酒精测试仪设计(原理图工程+仿真工程源代码+实物图+答辩论文+答辩PPT) 文章目录 typora-root-url ...

  3. VHDL:24秒倒计时器的设计(代码完整,结构清晰,很容易看懂)

    要求: 1.分频器的设计与实现. 输入为4Hz的时钟,输出为1Hz的时钟. 2.设计24秒倒计时器. (1)输入为固定频率脉冲.使能端.复位端,输出为计时状态(用8421码表示). (2)用VHDL编 ...

  4. 用四位led数码管作显示器的篮球比赛24秒计时器求c语言代码,单片机编程控制LED七段数码管作显示的篮球赛计时计分系统...

    本次设计用由AT89C51编程控制LED七段数码管作显示的球赛计时计分系统.系统应具有赛程定时设置,赛程时间暂停,及时刷新甲.乙双方的成绩以及赛后成绩暂存等功能. 内容包括:显示.键盘.时钟等内容设计 ...

  5. 篮球比赛24秒能不能用计算机控制,请问篮球24秒倒计时牌哪家是全金属面板的?...

    Amily82 体育馆计时记分设备是用于各类室内.室外体育比赛场馆所举办 的各种球类比赛.信息发布,中使用的系列便携式"计时记分系统". 通过比赛指定裁判或指定工作人员的现场操作, ...

  6. 计算机毕业设计springboot基于SpringBoot框架的民俗文化交流与交易平台的设计与实现4557w源码+系统+程序+lw文档+部署

    计算机毕业设计springboot基于SpringBoot框架的民俗文化交流与交易平台的设计与实现4557w源码+系统+程序+lw文档+部署 计算机毕业设计springboot基于SpringBoot ...

  7. 【毕业设计】66-基于物联网技术的智能家居系统的研究(仿真工程+原理图+源代码+答辩论文+答辩PPT)

    [毕业设计]66-基于物联网技术的智能家居系统的研究(仿真工程+原理图+源代码+答辩论文+答辩PPT) 文章目录 [毕业设计]66-基于物联网技术的智能家居系统的研究(仿真工程+原理图+源代码+答辩论 ...

  8. 单片机24秒倒计时c语言,单片机汇编语言24秒倒计时程序

    ;24秒倒计时 ORG 0000H LJMP M1 ORG 000BH LJMP N1 ORG 0100H M1: MOV R7,#20 MOV TMOD,#01H MOV TH0,#4CH MOV ...

  9. 基于生态系统服务(InVEST模型)的人类活动、重大工程生态成效评估、论文写作

    基于生态系统服务(InVEST模型)的人类活动.重大工程生态成效评估.论文写作 白老师(研究员):长期从事生态系统结构-格局-过程-功能-服务的变化与响应关系等研究工作:重点围绕生物多样性.生态系统服 ...

最新文章

  1. 字符串留用与字符串池
  2. Java 面试题基础概念收集(高级)
  3. Nacos下载与安装-windows
  4. int p 与int p 的不同
  5. ios设备new Date('2019-07-26 11:00:00')报错
  6. LINUX 第六章 Open WebMail完全安装手册
  7. 日期处理——日期差值
  8. Day6-------BOM
  9. 单片机c语言数码显示实验报告,单片机c语言版数码动态显示实验报告.doc
  10. ★LeetCode(627)——交换工资(MySQL)
  11. Introducing to Spring Framework
  12. Net设计模式实例之桥接模式( Bridge Pattern)(1)
  13. OpenCV中寻找轮廓函数cvFindContours的使用说明以及序列cvSeq的用法说明
  14. Java初学01:学习路线,韩顺平java教程百度云
  15. Android 腾讯Bugly热更新笔记
  16. 电信大型服务器机房_香港十大知名服务器机房汇总详细介绍
  17. Win10系统解决Windows Defender自动删除文件的问题
  18. 一台电脑同时配置github私人账户和公司账户
  19. WIN2012远程桌面授权服务器许可证问题解决方法
  20. 图片水平垂直居中的几种方法总结

热门文章

  1. 【MySQL入门指北】第六篇 按条件筛选
  2. 大战在即!手机芯片巨头“All in”智能汽车,5G只是冰山一角
  3. 验证哥德巴赫猜想:任一充分大的偶数,可以用两个素数之和表示。
  4. Revit:一般建筑的主要结构汇总和构建参数化族方法
  5. 【MySQL | 进阶篇】05、MySQL 视图、触发器讲解
  6. 再谈BPM(业务流程管理)为企业带来哪些提升
  7. 期待重磅升级的梅李大梦想城亲子游乐园!第三代黄桥大梦想城先让你一饱眼福!
  8. 自己动手写三层代码生成器学习总结
  9. 冷门又实用的Excel技巧知识,还不来看看嘛
  10. redisson究极爽文-手把手带你实现redisson的发布订阅,消息队列,延迟队列(死信队列),(模仿)分布式线程池