一、三种下载文件的区别

QuartusII三种下载文件sof、pof、jic

他们的区别是:
sof:
sof文件是可以直接通过JTAG口下载到FPGA的SRAM中去并直接执行,掉电丢失,再次上电需要重新烧写程序;

pof:
pof文件是不能直接下载到FPGA的SRAM中去的,而是通过ASP端口直接下载到FPGA的配置芯片中去的。配置芯片一般是串行FLASH芯片,在上电的时候FPGA会主动的从配置芯片中读取数据并烧写内部的SRAM数据然后执行程序。

jic:
jic文件不是在编译过程中生成的,而是需要使用Quartus II软件将sof文件转换得到的。通过JTAG口可以将jic文件通过FPGA作为桥接芯片下载到配置芯片(flash)中去

将sof文件转换为jic文件流程



添加设备

选择转换的sof文件


点击generate生成jic文件。

【FPGA 学习笔记】sof文件和jic文件的区别,程序固化(将sof文件装换位jic文件)相关推荐

  1. FPGA学习笔记_ROM核调用与调试

    FPGA学习笔记 ROM核调用与调试 1. ROM存储器IP核的使用 2. 创建.mif文件 3. In system memory content editor内存查看工具的使用 4. Signal ...

  2. FPGA学习笔记之Altera FPGA使用JIC文件配置固化教程

    FPGA学习笔记之Altera FPGA使用JIC文件配置固化教程 很多做过单片机的朋友都知 道,我们在对MCU烧写完程序固件后,那么该程序固件就存储在了该MCU内部.即使MCU断电了再重新上电,程序 ...

  3. FPGA学习笔记(五)Testbench(测试平台)文件编写进行Modelsim仿真

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  4. FPGA学习笔记(1)简单的时序逻辑电路——流水灯

    FPGA学习笔记(1)简单的时序逻辑电路--流水灯 编程语言为Verilog HDL 原理 (1)设计一个计数器,使开发板上的4个LED状态每500ms翻转一次.开发板上的晶振输出时钟频率为50MHz ...

  5. FPGA学习笔记(七): DSB调制解调的仿真

    笔记七是DSB调制解调的仿真实现. DSB调制解调的实现原理:首先使用DDS产生低频正弦波信号作为调制信号,再用DDS产生高频信号作为载波信号,然后使用乘法器将两者相乘产生DSB信号,DSB信号与载波 ...

  6. FPGA学习笔记(八)同步/异步信号的打拍分析处理及亚稳态分析

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  7. FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇尾

    FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇一 FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇二 ...

  8. FPGA学习笔记(十二)IP核之FIFO的学习总结

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  9. 小梅哥FPGA学习笔记

    小梅哥FPGA学习笔记 一.38译码器 功能: 译码器其任一时刻的稳态输出,仅仅与该时刻的输入变量的取值有关,它是一种多输入多输出的组合逻辑电路,负责将二进制代码翻译为特定的对象(如逻辑电平等).38 ...

  10. FPGA 学习笔记:Vivado 2018.2 MicroBlaze Uartlite 配置

    前言 Vivado 版本: Vivado 2018.2 + Vivado HLS 2018.2, Vivado HLS 2018.2 用于 SDK 开发,C语言开发 创建基于MicroBlaze的 [ ...

最新文章

  1. qgis经纬度_数据养成系列--QGIS地理空间
  2. C# 语句中的各种单例模式代码
  3. vue引用公用的头部和尾部文件。
  4. 【小白学习keras教程】十、三种Model Selection方法:k-fold cross-validation,GridSearchCV和RandomizedSearchCV
  5. poj_2349 Kruskal 最小生成树
  6. ggforce|绘制区域轮廓-区域放大-寻找你的“onepiece”
  7. 99行代码的《冰雪奇缘》,收下我的膝盖!
  8. 数字图像处理(第三版)
  9. MAC 终端git提交代码步骤
  10. ARM:ARM体系结构与编程、ARM指令流水线、ARM编程模型基础
  11. eclipse不自动弹出提示
  12. win10计算器_30年后终更新!新一代Win10记事本详细体验
  13. pythonobject转int_python – Pandas:将date’object’转换为int
  14. ice1000烧写程序_[转载]Altera FPGA的EPCS配置的2种方法FPGA下载程序的方法(EPCS)
  15. kpi绩效考核流程图_KPI绩效考核的步骤
  16. 图书管理程序(c语言实现)
  17. 数字图像处理之灰度化
  18. 使用CSS画出漂亮的弧线
  19. 盛大锦书注册到云梯的 C# 程序
  20. linux上的无线网卡灯不亮

热门文章

  1. USACO-Section3.2 Feed Ratios【克莱默法则】
  2. 感动!有人将吴恩达的视频课程做成了文字版
  3. 聚沙成塔-linux 常用命令
  4. 职场小白手收藏篇:职场应聘的面试技巧
  5. CentOS 识别NTFS格式U盘
  6. 计算机蓝牙快捷键,电脑蓝牙在哪里开?蓝牙快捷方式介绍
  7. facebook应用中_如何从Facebook应用程序的快捷方式栏中删除图标
  8. 成功解决 ARP项添加失败:请求的操作需要提升
  9. java项目视频22套
  10. 虚拟机VMware安装Windows7保姆级教程