任务描述

本关任务:在第2关六进制计数器和第3关十进制计数器基础上,用级联的方法构建一个六十进制计数器,要求具有同步置数、异步清零功能。

相关知识

建议使用同步时序逻辑。 设计难点:使能信号逻辑和进位输出逻辑。 “骨肉相连”模型: 1)2n进制计数器

T触发器可以看成是21进制计数器,Q既是状态又是进位输出。 2)10n进制计数器

3)整十进制、整百进制计数器,原理同上!

引脚说明

信号 I/O 位宽 说明
D1,D0 输入 4 位 并行数据加载端
Reset 输入 1 位 异步清零端:Reset=1时,Q=0
Clock 输入 1 位 时钟脉冲,上升沿有效
Load 输入 1 位 同步置数端:Reset=0、Load=1、Clock↑时,Q=D
Enable 输入 1 位 使能端:Reset=0时,Enable=1递增计数、0保持
Q1,Q0 输出 4 位 状态输出端:分别表示十位和个位
Co 输出 1 位 进位输出端:状态Q1Q0=59时,Co=1

60进制计数器的设计相关推荐

  1. 两片74161实现60进制_用二进制计数器集成芯片74161设计:(1)60进制计数器 (2)6×10进制计数器 (3)10×6进制计数器的实验思路...

    74161是4位二进制计数器,有同步置数和异步清零功能. 下面所有的计数器方案都用同步逻辑来进行设计 (1)60进制计数器,进位条件为59,转换成二进制是111011 需要用两片74161,N1作为低 ...

  2. 两片74161实现60进制_74LS161设计60进制计数器-数电课程设计

    计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时.分频和执行数字运算以及其它特定的逻辑功能. 计数器种类很多.按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有 ...

  3. 两片74161实现60进制_设计60进制的计数器_用eda设计60进制计数器_74ls161(3)

    图4 D/A 转换器电路 该电路的输入信号接四位二进制计数器的输出 端,设计数器输出高... 数字控制电路要求5V电源,可选择CW7805集成三端稳压器实现.辅助电源原理图如图6... <数字电 ...

  4. Verilog自顶向下设计24进制和60进制计数器(FPGA)

    提供Verilog自顶向下设计24进制和60进制计数器(1Hz,频率可调)并用数码管动态显示的代码,且两个程序皆在Basys2开发板上验证通过.程序思路: 首先将程序分为4部分:分频程序.计数程序.数 ...

  5. 【verilog_8】: 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    1.设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule cnt60x (in ...

  6. 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 Design a modulus 60 counter, with asynchronous reset, synchronou ...

  7. 两片74161实现60进制_74ls161集成60进制加法计数 - 74LS161集成计数器电路(2、3、4、6、8、10、60进制计数器)...

    二.74LS161集成计数器电路(60进制计数器) 实现60进制加法计数器需要2片161,它们之间的连接方式又分同步和异步两种. 1)异步连接方式.低位的161通过置数法设计为十进制加法计数器,每十个 ...

  8. 【EDA技术】 实验二 BCD码输出的60进制计数器的VHDL

    一.实验目的 (1) 熟悉EDA软件,并能熟练使用. (2) 分析设计任务,根据任务要求完成设计内容. (3) 利用软件对设计内容进行仿真调试,得到正确运行结果. 二.实验要求 (1)设计具有异步清零 ...

  9. 74ls390设计任意进制计数器,基于74LS192的任意进制计数器的设计

    基于74LS192的任意进制计数器的设计 [摘要]利用集成二.十进制计数器采用置数法.置零法设计任意进制计数器,分析设计方法,给出设计案例.以集成计数器74LS192为例,运用置零法和置数法设计八进制 ...

最新文章

  1. 5年时间服务器从0到200,一个创业公司的架构野蛮生长史
  2. .NET (C#) Internals: ASP.NET 应用程序与页面生命周期——吴秦(Tyler)
  3. [ARM异常]-中断的术语介绍:target,assert,taken,acknowledge
  4. 刷了三遍面试题仍拿不到offer?如何掌握AI技术面正确刷题姿势?
  5. VTK:IO之HDRReader
  6. Ubuntu 14.04.5 imx6 开发环境搭建
  7. 计算机游戏 综述,计算机游戏对玩家认知能力影响的研究综述
  8. HTML二刺螈网址导航模板
  9. Java用户定义对象的LinkedList
  10. Linux中的docker login 与docker logout 命令
  11. 1038. Jewels And Stones
  12. 排球记分员计分程序(三)————设计文档的编写及构架概要设计
  13. linux搭建pptpd服务器,最简单的Linux系统上的pptpd服务器安装
  14. STVD生成HEX格式文件
  15. 安全教育APP开发应该具备哪些功能
  16. LINUX基础 第四次课 10月15日
  17. mysql读缓存_优化MySQL,还是使用缓存?读一篇文章有感
  18. Unix网络编程unp.h问题以及Linux中的库
  19. 证据加密(witness encryption)
  20. 第六章: 项目进度管理

热门文章

  1. HTTP 304状态码讲解
  2. 旋转变压器简介、工作原理及分类
  3. 《小学生C++趣味编程》Scratch、C++
  4. 超详细MapReduce程序实现WordCount案例
  5. 杭电和宁波大学计算机,浙江工业大学VS杭州电子科技大学,谁更好点?
  6. 按键精灵调试三天,气到吐血!!快速开发脚本代码常见错误!绝对干货!
  7. C/C++项目开发:教你用C++开发电脑桌面提醒程序,好厉害的黑科技!
  8. 235_自定义抽签器一
  9. axure 学习及录播视频
  10. 字典树(java实现)