本文主要介绍用altera-modelsim 对altera的DCFIFO IP核进行功能仿真
fifo在程序中常用的有两种功能:
(1) 跨时钟域进行数据传输;
(2)不同位宽数据进行转换。
首先在altera官网上 下载 dcfifo_design_example 例程。利用该工程来进行DCFIFO的功能仿真,DCFIFO即 dual clock fifo 双时钟fifo,读和写fifo用两个不同的时钟。
该例程实现的是数据从rom 发送到ram,而rom模块和ram模块处于不同的时钟域,所以就用了dcfifo来实现不同时钟域的数据传输。整体设计框图如下,可以看出dcfifo左边部分时钟用的是trclk,而右边用的是rcvclk。中间用了DCFIFO来做不同时钟域转换。

1、首先打开altera-modelsim软件,左键File->左键Change Directory

2、弹出下面的窗口,找到你的工程文件目录,点击 确定 按钮。这样就把路径修改到你的工程文件夹下了。

3、左键Tools->Tcl->Execute Macro…

4、弹出下面的窗口,选择dififo_de_top.do文件,点击 打开 按钮 altera-modelsim就会自动开始进行编译 ,添加波形等操作,之后就会自动弹出 Wave 窗口,从这里面就可以看到DCFIFO波形仿真的结果了。
(.do格式的文件 里面是用tcl 语言写的自动化运行脚本,这样就不用我们手动地去点击altera-modelsim窗口里的按钮进行仿真文件添加,编译,添加波形等操作了)

5、仿真波形,如下。

附:
1、在例化fifo时,有两种读fifo模式 Normal Mode 和Show-Ahead Mode
(1)Normal Mode: 读数据在发送读请求后 才会输出。如下图,数据00000001在rdreq 信号为高后才从q 输出。

(2)Show-Ahead Mode:数据在没有发送读请求时就已经输出。如下图,数据00000001在发送rdreq 前就已经从q 输出了。这种方式的好处就是我不用发送读请求就能去读到数据的值。

2、输入输出fifo的数据位宽不同
(1)写数据位宽16,读数据位宽8。注意:写入数据 16’hFF01,读数据时是先输出的写入数据的低8位,8’h01,再输出写入数据的高8位8’hFF。wrusedw指的是fifo中有多少个写入的数据。

(2)写数据位宽8,读数据位宽16。注意:写入两个八位数据 8’h01,8’h02,读数据时输出是16’h0201。即先写入的数据 8’h01,是输出数据的低8位,后写入的数据8’h02是是输出数据的高8位。rdusedw指fifo中可读的数据个数

altera DCFIFO IP核 功能仿真相关推荐

  1. Xilinx PLL IP核功能仿真

    0 本章目录 1)软件环境 2)PLL IP核仿真流程 3)结束语 1 软件环境 1)vivado 2016.1 2)modelsim10.4c 2 PLL IP核仿真流程 PLL IP核仿真流程如下 ...

  2. 【FPGA学习】Quartus II中NCO与FFT ip核的仿真

    FPGA有许多IP核使用起来很方便,本篇博客记录一下NCO以及FFT的IP核使用和学习,实验平台是Altera公司的EP4CE40F23C8N 参考资料: Altera--NCO IP核详解 ALTE ...

  3. Altera的IP核

    PLL 1.PLL 的四种输出模式: In normal mode(普通模式):仅在进入管脚时和到达芯片内部第一级寄存器时的相位相同,但是输出的时钟相位无法保证相同(此模式下最好不要用作于对外输出): ...

  4. ALTERA DDR2 IP核调试记录

    一.创建IP核 以管理员方式打开QUARTUS II 13.0,新建一个工程,打开MegaWizard plug-in manager 找到interface>>external memo ...

  5. DDR3 AXI4 IP核读写仿真实验(2)

    上篇blog中记录了DDR3 AXI4接口的IP配置详情,这一文章则是记录自己在项目工程以及学习中对于DDR3的读写测试.先讲一下大概的工程架构:产生16位的自加数写进写FIFO中,当FIFO中的数达 ...

  6. Quartus II 18.0 创建 dcfifo IP 核仿真出现问题 Port 'eccstatus' not found 记录和解决方案

    Quartus II 版本如下图所示:18.00 Build 614 04/24/2018 SJ Standard Edition 在工程中例化了一个异步 FIFO 配置信息如下: 仿真时 Model ...

  7. 用Modelsim SE 直接仿真 Altera(Intel PSG) IP核 需要注意的问题

    如果我们直接用Modelsim SE仿真 Altera IP核,首先会进入Quartus II目录下找到IP核对应的仿真库源文件,然后在Modelsim SE中进行编译,添加到Modelsim SE的 ...

  8. 如何用ModelsimSE仿真IP核-以PLL为例

    我们之前介绍了如何使用Modelsim SE进行仿真和利用do文件的仿真方法,但是其中待仿真的模块是我们自己编写的Verilog模块,但是在实际工作中,我们的设计中会经常用到FPGA厂商给我们提供的现 ...

  9. modelsim 独立仿真vivado fifo IP核

    1.前言 vivado内自带仿真器,或者可以通过vivado启动第三方仿真工具,联合仿真.但是很多时候,我们希望脱离vivado,利用modelsim或者vcs等第三方仿真工具,高效地仿真.本文介绍如 ...

最新文章

  1. Sublime3快捷键大全
  2. Stable Sort Aizu - ALDS1_2_C
  3. 如何在myeclipse中导入jar包?
  4. 被面试官问的Android问题难倒了,系列篇
  5. ModuleNotFoundError: No module named 'tensorflow.python.saved_model.model_utils'
  6. Android坡度计
  7. 1002: Prime Path
  8. Log4cpp 配置文件配置Syslog
  9. springsoure.sts下载地址
  10. BP神经网络代码实现
  11. vue实现非同源图片的下载功能--跨域问题(解决浏览器打开图片,而不是下载)
  12. TensorFlow镜像安装(Anaconda)
  13. 基于5G网络的远程控制机器人应用及测试
  14. Bash shell学习笔记(五)
  15. PAT基础级-黄金段位样卷1
  16. C语言strchr函数
  17. 让数学变得更生动manim库的使用(4)-动画系统详解
  18. matlab教师考试安排,2018教师资格证考试科目及日程安排
  19. Head First HTML and CSS
  20. Yolov5代码详解——detect.py

热门文章

  1. vb+flash 开发常见问题之--保存时出现错误:“系统错误H80004005(-2147467259),未指定的错误”
  2. Java项目-王者荣耀源码分享~Java项目开发
  3. 跨域MPLS Option B(RR)
  4. 计算机网络原理第4章 网络层
  5. echarts常用配置项整合之xAxis,yAxis
  6. WPF+VLC 写一个视频播放器(一、搭建环境)
  7. 最新支持android的手机型号,科技知识:哪些手机可以升级安卓11 Android11支持机型大全...
  8. PowerBuilder 10 下调用FoxitPDFSDK_AX_Pro.ocx浏览打印PDF文件
  9. 《查看Linux系统版本以及VMWARE快照》
  10. 数据抓取工具有哪些-数据抓取工具免费推荐的有哪些