关于carsim和simulink联合仿真的实例,网上有很多资料,但是总体来说还是比较零散。

所以写一篇文章来讲这些资料整合起来,并且提供一个案例的模型和code。

首先,我自己做案例的时候用的软件版本如下:

carsim:8.02

下载地址:

CarSim V2019.0 中文免费版​www.downxia.com

matlab:2018b

下载地址:

matlab 2018b破解版|MathWorks MATLAB R2018b中文破解版下载(附 激活秘钥)[网盘资源]​www.3322.cc

网上也有很多其他可以下载的地方。

下面开始说实例的操作:

1、carsim实例模型的建立,可以参考下面这篇文章:

CSDN-专业IT技术社区-登录​blog.csdn.net

2、关于carsim更全的使用介绍,bilibili上有一个官方的教学视频:

Carsim官方入门视频教程(合集)-by mechanical simulation_哔哩哔哩 (゜-゜)つロ 干杯~-bilibili​www.bilibili.com

3、carsim与simulink连接时可能遇到无法找到carsim S-Function的问题,解法如下:

Matlab/Simulink中找不到Carsim s-function的解决办法​cloud.tencent.com

4、我做的使MPC-controller的例子,通过S-Function实现,所以S-Function也需要了解一下:

CSDN-专业IT技术社区-登录​blog.csdn.netCSDN-专业IT技术社区-登录​blog.csdn.net

5、我的实例上传到Github了,需要的童鞋可以自己clone,车辆走的路线是圆形,MPC-controller的控制精度还不错,GitHub地址:

记得给个star哟!

https://github.com/NezaRIP/carsim-simulink-MPC-controller-practice​github.com

6、如果想要进一步了解MPC控制的原理部分,以及上述实例中程序的具体释义,可以看看B站上的代码解析视频:

【控制】模型预测控制 MPC 08.04 总结 修正 代码解析 CarSim Simulink联合仿真_哔哩哔哩 (゜-゜)つロ 干杯~-bilibili​www.bilibili.com

好了,以上就是我搜罗到的一些资源,大家有兴趣的话,就可以打开电脑玩一玩,欢迎交流!

simulink中文_CarSimamp;Simulink 联合仿真案例相关推荐

  1. CST场路联合仿真案例:外加偏置的电磁散射调控

    转载侵删:CST场路联合仿真案例:外加偏置的电磁散射调控 一.仿真概述 (1)软件版本 Release Version 2019.07 (CST2019-SP7),CST微波工作室(MWS)+设计工作 ...

  2. recurdyn和matlab联合仿真,基于RecurDyn与Simulink的液压破碎锤联合仿真与试验分析

    机 械 第41卷2013年第1l期 液压破碎锤联合仿真与试验分析 方 建,杨国平,王 聪 ,徐小剑 上海工程技术大学汽车工程学院 上海 201620 圈 摘要 :以某型号液压破碎锤为研究对象,分析其工 ...

  3. Matlab(Simulink)+ANSYS Simplorer+Maxwell联合仿真(一)——软件选取问题

    前段时间一直在弄联合仿真的事情,试错了很多,向在这里和大家分享一下: 因为我想用Matlab里面的Simulink做电机的控制,然后如果要和Maxwell联合仿真,就必须要用到Simplorer,所以 ...

  4. 基于PreSCAN Matlab/Simulink的智能驾驶联合仿真【详细图文】

    在自动驾驶竞争日益激烈的趋势下,自动驾驶功能不断更新迭代,但任何功能的商业化推出之前,测试是必然的前提,如软件测试.仿真测试.道路测试,尽管在我国政策的支持情况下(如颁发道路测试牌照),自动驾驶道路测 ...

  5. matlab和robotstudio,MATLAB与Robotstudio联合仿真案例(机器人绘图)

    1.前记:一个案例的记录. 介绍: Using robots to draw is an emerging artform. To expand this field, a method for sk ...

  6. MATLAB与Robotstudio联合仿真案例(机器人绘图)

    1.前记:一个案例的记录. 介绍: Using robots to draw is an emerging artform. To expand this field, a method for sk ...

  7. verdi使用linux命令,vcs和verdi的调试及联合仿真案例

    环境配置 首先搭建好vcs和Verdi都能工作的环境,主要有license问题,环境变量的设置.在220实验室的服务器上所有软件的运行环境都是csh.所以,所写的脚本也都是csh的语法. 生成波形文件 ...

  8. Simulink与VREP联合仿真

    软件: ppeliaSim Edu 4.0.0 (VREP的升级版本)具有集成开发环境的机器人模拟器CoppeliaSim基于分布式控制体系结构:每个对象/模型都可以通过嵌入式脚本,插件,ROS或Bl ...

  9. simulink与modelsim联合仿真buck闭环设计

    simulink与modelsim联合仿真buck闭环设计 主电路用simulink搭建,控制电路完全有verilog语言实现(包括DPWM,PI补偿器) 适用于验证基于fpga的电力电子变换器控制, ...

最新文章

  1. 无线节能组的充电问题
  2. 云大计算机基础,2019云南大学计算机技术专硕上岸经验
  3. [三代] 获取BADI对象的两种方法
  4. c php数据,C 数据类型
  5. [原]windbg调试系列——崩溃在ComFriendlyWaitMtaThreadProc
  6. jzoj3833-平坦的折线【模型转换,LIS】
  7. jzoj4671-World Tour【图论,bfs】
  8. Windows误关闭资源管理器重启的办法
  9. NGS基础 - 参考基因组和基因注释文件
  10. linux修改文件夹及其下面所有文件的权限(文件夹权限)
  11. 程序员该面向运维,还是面向开发?
  12. linux中的帮助命令man(manual 手册,帮助,指南)
  13. SSM框架之Spring MVC(四)异常处理和拦截器实现
  14. 永恒之蓝漏洞补丁-MS17010补丁列表KB号
  15. 【智能路由器】轻量级web服务器lighttpd架设——打造家庭影院
  16. SAP增强 和VA01相关增强点介绍
  17. 哈夫曼编码的理解(Huffman Coding)
  18. PyG快速安装(一键脚本,2021.7.14简单有效)
  19. 工作用哪个邮箱好用?好用的办公邮箱让你放假无烦恼
  20. 世界十大骨传导耳机排行榜、实测主流骨传导耳机推荐解析必看榜单

热门文章

  1. 关于ThreadLocal
  2. AC自动机 - 关于Fail指针
  3. 数组 -自动遍历数组-冒泡排序
  4. 对于访问IIS元数据库失败的解决
  5. 编程行业高手级别必学C语言,要挣大钱必学C语言,要做黑客、红客必学C语言,要面试名企、外企、高薪职位必学C语言。
  6. 程序园冬天好冷怎么办?
  7. 今天的我从来没想到的飞鸽传书2009
  8. 我们究竟该看待百度更新?
  9. 《C++0x漫谈》系列之:右值引用
  10. 修炼一名软件工程师的职业水准