作者:王子齐
学校:电子科技大学
学号:2018151202014
设计目的:完成对于FGPA开发的基础练习,建立FPGA开发思想。

目录

  • 设计要求
  • 基于multisim对于交通灯的电路搭建
    • 1.1 交通灯电路的组成部分
    • 1.2 振荡脉冲模块
    • 1.3 计数模块及显示模块
    • 1.4 主控模块
    • 1.5 信号灯译码模块
    • 1.6 总电路及其仿真效果
  • 基于Quartus(verilog)对于交通灯的电路设计
    • 1.1 step by step
    • 1.1.1 首先给出状态转换表(此处状态编码为S1:000;S2:001:S3:010;S4:011;S5:100,即采用最简的状态编码形式)
    • 1.1.2 在1中已经得到了状态转换方程,下面给出状态输出表以便求出输出方程
    • 1.1.3 门级电路图。
    • 1.2 verilog 代码实现
    • 1.3 仿真效果
  • 总结
设计要求

设计并实现一个简单的十字路口交通灯控制电路。具体要求:以4个红色指示灯、4个绿色指示灯和4个黄色指示灯模拟路口东西南北4个方向的红绿黄交通灯。控制这些灯,使它们安下列规律亮灭。

1、东西方向绿灯亮,南北方向红灯亮。东西方向通车,时间30秒;

2、东西方向黄灯闪烁,南北方向红灯亮,时间2秒。

3、东西方向红灯亮,南北方向绿灯亮。南北方向通车,时间30秒;

4、东西方向红灯亮,南北方向黄灯闪烁,时间2秒。

5、返回1,继续运行。

要求在仿真软件中设计并运行,或设计实际电路调试运行,提交设计报告电子档,设计报告要求包括:设计方案、电路原理图、测试表格、分析结果总结,实现结果展示(要求用仿真结果截图或者实测照片截图展示实现效果)。

基于multisim对于交通灯的电路搭建
1.1 交通灯电路的组成部分

对于交通灯电路,其大致有以下几大模块:

模块 作用
振荡脉冲模块 产生信号源,使电路起振
计数及其显示模块 对于时间的一种显示,使得我们可以更好地了解交通灯的亮暗时间
主控模块 电路中最核心的部分,通过一定的数字逻辑关系对于最终的交通灯亮暗加以控制
信号灯译码模块 译码主控模块的控制信号,将其可视化

下面我们逐一进行讨论并给出相应multisim电路图

1.2 振荡脉冲模块

振荡脉冲模块事实上就是信号源产生模块,其主要功能就是为整个电路提高时钟脉冲,可以有多种方法去实现,直接使用时钟脉冲信号源或使用555定时器搭建多谐振荡器都可以实现相应目的,下面给出两种实现方法的电路图。

a)直接调用时钟脉冲(在multisim中搜索clock即可)

b)使用555搭建的多谐振荡器(在multisim中搜索555即可)

如上图,此时的OUT等效于某个频率的时钟脉冲信号,通过调节R1,R2,C的值可以改变输出的频率,下面给出相应公式以便读者自行调节脉冲频率:

tW1=(R1+R2)Ct_{W1}=(R_{1}+R_{2})CtW1​=(R1​+R2​)C

tW2=R1Ct_{W2}=R_{1}CtW2​=R1​C

T=tW1+tW2T=t_{W1}+t_{W2}T=tW1​+tW2​

1.3 计数模块及显示模块

计数电路是主控电路的输入源,通过计数电路的适当连接,可以得到我们希望的任意计数序列,而计数序列一方面可以当作时间在显示模块上得以可视化,另一方面又可以通过主控电路从而产生相应的控制信号。

对于显示电路,事实上,如果不考虑实际应用能力而只关心电路本身的性能,那么我们完全可以摒弃显示电路。但考虑在实际应用中,我们等待红绿灯时希望知道它的时间,所以在此加入显示电路。

译码电路采用计数器便可以实现,此处给出一种连接方法:

a) 基于74LS160构造的计数器(模64,因为一个交通灯周期为64个时间单位)


级联方式非常简单,采用清零法级联即可。

1.4 主控模块

主控模块是对电路控制的核心力量,是由一些门电路组成的组合逻辑块。由于主控模块往往是电路设计中较为艰难的部分,所以下面给出详细的推导过程。


我们令计数器U1的输出为 QA1Q_{A1}QA1​ QB1Q_{B1}QB1​ QC1Q_{C1}QC1​ QD1Q_{D1}QD1​ ,令计数器U2的输出为 QA2Q_{A2}QA2​ QB2Q_{B2}QB2​ QC2Q_{C2}QC2​ QD2Q_{D2}QD2​。令东西方向的黄灯,绿灯和红灯输出分别为Y1Y_{1}Y1​ G1G_{1}G1​ R1R_{1}R1​,南北方向的黄灯,绿灯和红灯输出分别为Y2Y_{2}Y2​ G2G_{2}G2​ R2R_{2}R2​。

计数器U1和U2级联形成模64计数器(0——63)。

根据上述设计要求,东西方向的黄灯只有在每次计数周期中低30—31个计数单位时亮起(前30S为东西方向绿灯亮起),故可列出如下真值表。(由于计数最大只计到63,故我们不关心U1的QD位)

输出项 QC1Q_{C1}QC1​ QB1Q_{B1}QB1​ QA1Q_{A1}QA1​ QD2Q_{D2}QD2​ QC2Q_{C2}QC2​ QB2Q_{B2}QB2​ QA2Q_{A2}QA2​ Y1Y_{1}Y1​ G1G_{1}G1​ R1R_{1}R1​ Y2Y_{2}Y2​ G2G_{2}G2​ R2R_{2}R2​
0 0 0 0 0 0 0 0 0 1 0 0 0 1
1 0 0 0 0 0 0 1 0 1 0 0 0 1
2 0 0 0 0 0 1 0 0 1 0 0 0 1
3 0 0 0 0 0 1 1 0 1 0 0 0 1
4 0 0 0 0 1 0 0 0 1 0 0 0 1
5 0 0 0 0 1 0 1 0 1 0 0 0 1
6 0 0 0 0 1 1 0 0 1 0 0 0 1
7 0 0 0 0 1 1 1 0 1 0 0 0 1
8 0 0 0 1 0 0 0 0 1 0 0 0 1
9 0 0 0 1 0 0 1 0 1 0 0 0 1
10 0 0 1 0 0 0 0 0 1 0 0 0 1
11 0 0 1 0 0 0 1 0 1 0 0 0 1
12 0 0 1 0 0 1 0 0 1 0 0 0 1
13 0 0 1 0 0 1 1 0 1 0 0 0 1
14 0 0 0 1 1 0 0 0 1 0 0 0 1
15 0 0 1 0 1 0 1 0 1 0 0 0 1
16 0 0 1 0 1 1 0 0 1 0 0 0 1
17 0 0 1 0 1 1 1 0 1 0 0 0 1
18 0 0 1 1 0 0 0 0 1 0 0 0 1
19 0 0 1 1 0 0 1 0 1 0 0 0 1
20 0 1 0 0 0 0 0 0 1 0 0 0 1
21 0 1 0 0 0 0 1 0 1 0 0 0 1
22 0 1 0 0 0 1 0 0 1 0 0 0 1
23 0 1 0 0 0 1 1 0 1 0 0 0 1
24 0 1 0 0 1 0 0 0 1 0 0 0 1
25 0 1 0 0 1 0 1 0 1 0 0 0 1
26 0 1 0 0 1 1 0 0 1 0 0 0 1
27 0 1 0 0 1 1 1 0 1 0 0 0 1
28 0 1 0 1 0 0 0 0 1 0 0 0 1
29 0 1 0 1 0 0 1 0 1 0 0 0 1
30 0 1 1 0 0 0 0 1 0 0 0 0 0
31 0 1 1 0 0 0 1 1 0 0 0 0 1
32 0 1 1 0 0 1 0 0 0 1 0 1 0
33 0 1 1 0 0 1 1 0 0 1 0 1 0
34 0 1 1 0 1 0 0 0 0 1 0 1 0
35 0 1 1 0 1 0 1 0 0 1 0 1 0
36 0 1 1 0 1 1 0 0 0 1 0 1 0
37 0 1 1 0 1 1 1 0 0 1 0 1 0
38 0 1 1 1 0 0 0 0 0 1 0 1 0
39 0 1 1 1 0 0 1 0 0 1 0 1 0
40 1 0 0 0 0 0 0 0 0 1 0 1 0
41 1 0 0 0 0 0 1 0 0 1 0 1 0
42 1 0 0 0 0 1 0 0 0 1 0 1 0
43 1 0 0 0 0 1 1 0 0 1 0 1 0
44 1 0 0 0 1 0 0 0 0 1 0 1 0
45 1 0 0 0 1 0 1 0 0 1 0 1 0
46 1 0 0 0 1 1 0 0 0 1 0 1 0
47 1 0 0 0 1 1 1 0 0 1 0 1 0
48 1 0 0 1 0 0 0 0 0 1 0 1 0
49 1 0 0 1 0 0 1 0 0 1 0 1 0
50 1 0 1 0 0 0 0 0 0 1 0 1 0
51 1 0 1 0 0 0 1 0 0 1 0 1 0
52 1 0 1 0 0 1 0 0 0 1 0 1 0
53 1 0 1 0 0 1 1 0 0 1 0 1 0
54 1 0 1 0 1 0 0 0 0 1 0 1 0
55 1 0 1 0 1 0 1 0 0 1 0 1 0
56 1 0 1 0 1 1 0 0 0 1 0 1 0
57 1 0 1 0 1 1 1 0 0 1 0 1 0
58 1 0 1 1 0 0 0 0 0 1 0 1 0
59 1 0 1 1 0 0 1 0 0 1 0 1 0
60 1 1 0 0 0 0 0 0 0 1 0 1 0
61 1 1 0 0 0 0 1 0 0 1 0 1 0
62 1 1 0 0 0 1 0 0 0 1 1 0 0
63 1 1 0 0 0 1 1 0 0 1 1 0 0

根据上述真值表,可以采用卡诺图化简,下面给出详细推导过程。

(a)对于黄灯信号的卡诺图逻辑化简
对于东西方向的黄灯:

QC1QB1QA1=000Q_{C1} Q_{B1} Q{A1} = 000QC1​QB1​QA1=000 时:Y1=0,Y2=0Y_{1}=0 , Y_{2}=0Y1​=0,Y2​=0
QC1QB1QA1=001Q_{C1} Q_{B1} Q{A1} = 001QC1​QB1​QA1=001 时:Y1=0,Y2=0Y_{1}=0 , Y_{2}=0Y1​=0,Y2​=0
QC1QB1QA1=010Q_{C1} Q_{B1} Q{A1} = 010QC1​QB1​QA1=010 时:Y1=0,Y2=0Y_{1}=0 , Y_{2}=0Y1​=0,Y2​=0
QC1QB1QA1=011Q_{C1} Q_{B1} Q{A1} = 011QC1​QB1​QA1=011 时:Y1如下,Y2=0Y_{1}如下 , Y_{2}=0Y1​如下,Y2​=0

Y1/QD2Y_{1}/Q_{D2}Y1​/QD2​QC2Q_{C2}QC2​ 00 01 11 10
QB2Q_{B2}QB2​ QA2Q_{A2}QA2​ / / / /
00 1 0 0 0
01 1 0 0 0
11 0 0 0 0
10 0 0 0 0

根据卡诺图化简可得到:

Y1=QC1′QB1QA1QD2′QB2′QC2′Y_{1}=Q_{C1}^{'}Q_{B1}Q_{A1}Q_{D2}^ {'}Q_{B2}^{'}Q_{C2}^{'}Y1​=QC1′​QB1​QA1​QD2′​QB2′​QC2′​

同理,对于南北方向的黄灯:

QC1QB1QA1=100Q_{C1} Q_{B1} Q{A1} = 100QC1​QB1​QA1=100 时:Y1=0,Y2=0Y_{1}=0 , Y_{2}=0Y1​=0,Y2​=0
QC1QB1QA1=101Q_{C1} Q_{B1} Q{A1} = 101QC1​QB1​QA1=101 时:Y1=0,Y2=0Y_{1}=0 , Y_{2}=0Y1​=0,Y2​=0
QC1QB1QA1=110Q_{C1} Q_{B1} Q{A1} = 110QC1​QB1​QA1=110 时:Y1=0,Y2如下:Y_{1}=0 , Y_{2}如下:Y1​=0,Y2​如下:

Y1/QD2Y_{1}/Q_{D2}Y1​/QD2​QC2Q_{C2}QC2​ 00 01 11 10
QB2Q_{B2}QB2​ QA2Q_{A2}QA2​ / / / /
00 0 0 0 0
01 0 0 0 0
11 1 0 0 0
10 1 0 0 0

根据卡诺图化简可得到:

Y2=QC1QB1QA1′QD2′QB2QC2′Y_{2}=Q_{C1}Q_{B1}Q_{A1}^{'}Q_{D2}^ {'}Q_{B2}Q_{C2}^{'}Y2​=QC1​QB1​QA1′​QD2′​QB2​QC2′​

(b)对于绿灯信号的逻辑推导
对于东西方向绿灯的处理:

QC1QB1QA1=000Q_{C1} Q_{B1} Q{A1} = 000QC1​QB1​QA1=000 时:G1=1,G2=0G_{1}=1 , G_{2}=0G1​=1,G2​=0
QC1QB1QA1=001Q_{C1} Q_{B1} Q{A1} = 001QC1​QB1​QA1=001 时:G1=1,G2=0G_{1}=1 , G_{2}=0G1​=1,G2​=0
QC1QB1QA1=010Q_{C1} Q_{B1} Q{A1} = 010QC1​QB1​QA1=010 时:G1如下,G2=0G_{1}如下 , G_{2}=0G1​如下,G2​=0

G1/QD2G_{1}/Q_{D2}G1​/QD2​QC2Q_{C2}QC2​ 00 01 11 10
QB2Q_{B2}QB2​ QA2Q_{A2}QA2​ / / / /
00 1 1 0 1
01 1 1 0 1
11 1 1 0 0
10 1 1 0 0

根据卡诺图化简可有:

G1=QC1′QB1′+QC1′QB1+QC1′QB1QA1′QD2QB2′QC2′G_{1}=Q_{C1} ^ {'}Q_{B1} ^ {'}+Q_{C1} ^ {'}Q_{B1} +Q_{C1}^{'}Q_{B1}Q_{A1}^ {'}Q_{D2}Q_{B2} ^ {'}Q_{C2}^{'}G1​=QC1′​QB1′​+QC1′​QB1​+QC1′​QB1​QA1′​QD2​QB2′​QC2′​

对于南北方向绿灯的处理:

南北方向上的绿灯可以通过卡诺图化简,但更加便捷的方法是:当东西方向红灯亮且南北方向黄灯不亮时,则南北方向绿灯亮,基于此思想可以得到:

G2=(R1+Y2′)′G_{2}=(R_{1}+Y_{2}^{'})^{'}G2​=(R1​+Y2′​)′

©对于红灯信号的巧妙处理
对于东西方向红灯的处理:

当南北方向绿灯或黄灯亮时,东西方向红灯亮,故有:

R1=G2+Y2R_{1}=G_{2}+Y_{2}R1​=G2​+Y2​

对于南北方向红灯的处理:

当东西方向灯或黄灯亮时,南北方向红灯亮,故有:

R1=G2+Y2R_{1}=G_{2}+Y_{2}R1​=G2​+Y2​

1.5 信号灯译码模块

当主控电路构建完成后,根据逻辑表达式连接到相应信号灯上即可。如下图:

1.6 总电路及其仿真效果

电路整体搭建效果如下图:


相应仿真结果如下:


基于Quartus(verilog)对于交通灯的电路设计

在介绍了multisim搭建电路实现交通灯的方法后,接下来介绍如何利用FPGA的思想去实现。

1.1 step by step
1.1.1 首先给出状态转换表(此处状态编码为S1:000;S2:001:S3:010;S4:011;S5:100,即采用最简的状态编码形式)



(注:此处设计采用最小成本法)
根据卡诺图化简可得:S2*=S1S0


(注:此处仍然采用最小成本法)
由卡诺图化简可得:S1*=S1S0’+S1’S0


(注:此处仍然采用最小成本法)
由卡诺图化简可得:S0*=S0’

1.1.2 在1中已经得到了状态转换方程,下面给出状态输出表以便求出输出方程


(注:LA为东西方向的灯,LB为南北方向的灯)


(注:此处仍然采用最小成本法) 可有:LA1=S1’S0’


(注:此处仍然采用最小成本法)
可有:LA0=S1S0’


(注:此处仍然采用最小成本法)
可有:LB1=S2’S0’+S2’S1’


(注:此处仍然采用最小成本法)
可有:LB0=S2


(注:此处仍然采用最小成本法)
可有:Movout1=S1’S0


(注:此处仍然采用最小成本法)
可有:Movout0=S1S0

1.1.3 门级电路图。

1.2 verilog 代码实现

下面给出相应代码(verilog):

timescale 1 ps/ 1 ps       // 规定时间规模与时间精度
module trafficlight (        //建立模块
input clk,
input rst_n,            //端口说明
input x,
output  reg [1:0] LA,   // 指明LA为两位reg型输出
output  reg [1:0]LB,    // 指明LB为两位reg型输出
output  reg [1:0]movout  // 指明movout为两位reg型输出);reg [2 : 0] state;     //创立三位数组parameter S0=0,S1=1,S2=2,            //规定参数S3=3,S4=4,S5=5;always@(posedge clk or negedge rst_n)   //always块语句,括号中为敏感条件
Begin                         //begin-end语句if(!rst_n)            //当rst_n为0时,执行下列语句beginLA=2'b00;         //   将2位二进制数00赋值给LALB=2'b00;movout=2'b00;      //其余类似state<=S0;        //进入S0状态endElse             //当rst_n为1时,执行下列语句case(state)       //对于不同的状态有不同的情况S0:             //若此时S0状态begin LA=2'b10;      // 将2位二进制数10赋值给LALB=2'b10;movout=2'b00;    #3;    //延时3sif(x==1)    //当x为1时state<=S0;  //状态跳转到S0Else     //当x为0时state<=S1; //状态跳转到S1endS1:           //以下均类似begin LA=2'b00;LB=2'b10;movout=2'b10;#30;if(x==1)state<=S1;elsestate<=S2; endS2:beginLA=2'b01;LB=2'b10;movout=2'b00;#3; if(x==1)state<=S2;elsestate<=S3; end  S3:begin LA=2'b10;LB=2'b00;movout=2'b01;#30;if(x==1)state<=S3;elsestate<=S4; endS4:beginLA=2'b10;LB=2'b01;movout=2'b00;#3;if(x==1)state<=S4;elsestate<=S5; endS5:begin LA=2'b00;LB=2'b10;movout=2'b10;#30;if(x==1)state<=S5;elsestate<=S1; enddefault:      //出于严谨性的考虑,其余情况均执行下列操作beginLA=2'b00;LB=2'b10;movout=2'b10;state<=S1; endendcase
endendmodule

以及测试代码:

initial
begin
x=0;
clk=1;
rst_n=0;
#10 rst_n=1;
#200 $stop;
end
always
begin
#1 clk=~clk;
end  
1.3 仿真效果


在10个时间单位之后清零端被拉高系统开始有效运行,在起初三个时间单位内,可以看出LA是10,LB是10,movout是00,即初始状态为4个方向的红灯全亮,时间3个时间单位。


在上述状态之后,进入下一状态,如上图,此时LA为00,LB为10,movout为10,即东、西方向绿灯亮,南、北方向红灯亮。东、西方向通车,持续30个时间单位,符合设计要求。

其余分析类似。

总结

在进行电路设计时,应该有化繁为简的思想。对于复杂电路,我们可以将其分解为相应功能模块,逐一实现,最终级联即可。

未经允许请勿转载

欢迎交流

FPGA开发基础——基于multisim以及Quartus实现的交通灯电路相关推荐

  1. FPGA开发基础知识

    FPGA开发基础知识 FPGA介绍 FPGA硬件内部结构 FPGA开发流程 数字信号和模拟信号的定义 常用数据类型 IP核的使用 Verilog HDL基本语法 注意点 结束语 FPGA介绍 FPGA ...

  2. 基于MATLAB平台实现红绿灯(交通灯)识别

    基于MATLAB平台实现红绿灯(交通灯)识别. 主要处理流程可以分为预处理(包括灰度化,锐化,滤波等),目标红绿灯从背景中提取分离,颜色识别三个步骤. 具体实现效果如图所示. ID:368067236 ...

  3. 【小月电子】安路国产FPGA开发板系统学习教程-LESSON1点亮LED灯

    点亮LED灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如非常简单的项目,我们 ...

  4. 基于单片机的交通灯设计c语言程序,基于单片机AT89C51控制的十字路口交通灯系统设计...

    摘要:本文设计一个单片机AT89C51控制的十字路口交通灯,通过硬件电路设计.元器件使用.软件设计.仿真等环节,实现主干道和支干道的分时控制,保证正常的交通秩序. 关键词:单片机 系统设计 交通灯 1 ...

  5. FPGA开发基础之三段式状态机

    状态机由状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,程序的运行其本质也是状态机,根据输入完成输出,得到新的状态. 在平时硬件电路的设计中经常需要用到状态机,例如CPU ...

  6. 基于51单片机六车道智能交通灯设计(仿真+源程序+PCB+论文)

    资料编号:204 功能介绍:(全套毕设资料齐全) 本设计的交通灯以十字路口为模型,在实现基本的功能前提下增加了时间及温度的液晶显示.从而还增加了路口高峰期的智能化人工管理机制. 实际生活中交通信号灯的 ...

  7. 基于51单片机实现LED模拟交通灯(Proteus仿真)

    wechat:[嵌入式工程师成长日记] 具体功能实现 南北红灯亮,东西绿灯亮:南北绿灯亮,东西红灯亮:在红绿灯转换过程中,黄灯闪烁5次. 使用器件: 若干电阻.4只LED红灯.4只LED绿灯.4只LE ...

  8. FPGA平台开发基础

    本篇文章介绍了FPGA平台的使用语言的基本语法,并用一个3-8译码器模块的实例引导嵌入式工程师熟悉FPGA开发的一般流程.FPGA的嵌入式程序最终都会映射成数字逻辑电路,在开发的过程中要保持对实际生成 ...

  9. 第四章 FPGA 开发平台介绍

    文章目录 第 1 节 开发环境 第2节 软件界面 第 3 节 第一个上板例程 3.1新建工程 3.2 代码设计 3.2.1 使用官方编辑器 3.2.2 使用GVIM编辑器 3.2.3 添加文件 3.3 ...

最新文章

  1. Java编写胖老鼠的交易_猫和胖老鼠
  2. idea 弹出框中有乱码_IDEA如何自定义快捷键
  3. UnicodeEncodeError: 'ascii' codec can't encode character
  4. windows设置自动清理log
  5. CodeForces - 487B Strip(线段树+dp+二分)
  6. cocos2dx--cocos2dx3.1.1执行报无法解析的外部符号
  7. ZKEACMS for .Net Core 深度解析
  8. ie8不兼容java项目_常见IE8兼容性问题及解决
  9. 车联网大数据框架_大数据基础:ORM框架入门简介
  10. 《日志管理与分析权威指南》一1.6 人、过程和技术
  11. BP算法:原理推导数据演示项目实战1(转)
  12. 查询计算机系的所有教师,用sql查询所有计算机系的教师编号和姓名
  13. 【学术分享】发顶会论文,怎么就那么难?
  14. STM32串口通讯——中断方式
  15. HTML问答页面模板,问答社区简单静态页面模板
  16. Shadowsocks错误:端口已被占用1090的错误解决办法
  17. 可以提高DeepFaceLab(DeepFake)合成最终视频速度的方法
  18. 这个寒冷的冬天 是谁的机会?
  19. 用matlab给图片标记区域,MATLAB二值图像连通区域标记(两步法)
  20. 【艾琪出品】《计算机应用基础》【试题汇总10】

热门文章

  1. 基于超星网页阅读的在线阅读的书籍下载软件,java实现。
  2. 运行vue报错npm ERR! A complete log of this run can be found in解决办法
  3. 人工智能AI程序设计语言简介
  4. 自定义Linxu启动logo(从其他分区加载logo)
  5. Android手机数据读写方法(内部存储、SD卡,网络加载,包内文件读取)
  6. 怎么更改电脑默认下载位置?
  7. aircrack-ng 添加Mac OS X 支持 airodump-ng和aireplay-ng都可以用了
  8. c#中的访问修饰符Protected,privet ,public, internal,和internal protected
  9. matlab 算 cramer s v,基于Cramer’s V指数的遥感影像特征选择方法专利_专利查询 - 天眼查...
  10. 广州华软软件学院 计算机,广州大学华软软件学院嵌入式编程试卷(2份)