当方波经过积分电路后,输出的波形就成为了三角波。那么我么结合之前的方波发生电路就能得到三角波发生电路。

左边的是方波发生电路,右边的是积分电路,输出的话就可以得到三角波。

大家可以看到这个电路有两个电容,我们可以将它们合二为一,就得到这种比较实用的三角波的发生电路。电容C即做积分器件又可以做滞回比较器的延时器件。运放同相端做滞回比较器输入。

根据电路我们可以得到

Un=0

Up=R2/(R1+R2) Uo±R1/(R1+R2) Uz

根据比较器,我们令Up=Un=0

可以得到比较器的阈值电压   Ut=±R1/R2 Uz

根据积分电路,输出电压Uo=-1/R3C±Uz(t-to)+Ut0

三角波频率f=R2/4R1R3C

实际测试视频如下:

运放如何产生三角波信号

运放如何产生三角波信号相关推荐

  1. 手把手MATLAB 简单连续信号表示 指数 正弦 抽样 矩形 三角波 信号

    MATLAB 简单连续信号表示 指数 正弦 抽样 矩形 三角波 信号 开头想法:因为今天刚考完玩信号与系统,感觉自己学到的东西特别的空洞,所以将自己又将实验重新做一次,加深理解,希望温故而知新!下面进 ...

  2. 运放全波整流电路_布布熊:整流一般采用桥式电路?我却用运放实现了全波精密整流...

    点击上面"卧龙会IT技术"关注我们 如果看到我们文章第二次了,该关注了 原创 | 卧龙会  布布熊 今天是我们卧龙会布布熊大侠来分享一个用运放设计全波整流电路.喜欢的,支持布布熊的 ...

  3. DIY信号发生器:运放实现三角波、方波发生器(详细参数说明)+multisim仿真

    前言 信号发生器是电子工程师最常用的几个仪器之一吧,三角波和方波是最常用的波形,在之前的文章中,我们已经介绍过RC延迟电路,今天我就教大家通过RC延迟和运放来实现三角波和方波. 仿真软件版本 本次介绍 ...

  4. 一篇文章教你使用运放实现三角波、方波(详细电路分析)+multisim仿真

    前言 信号发生器是电子工程师最常用的几个仪器之一吧,三角波和方波是最常用的波形,在之前的文章中,我们已经介绍过RC延迟电路,今天我就教大家通过RC延迟和运放来实现三角波和方波. 仿真软件版本 本次介绍 ...

  5. 利用运放实现信号的平移

    多数ADC都是单极性的,在用ADC进行模数转换时,常要对双极性信号进行正向平移和缩放,变为一定范围内的单极性信号后,才能被ADC所使用:为简化电路设计,常用单电源供电的运放,对交流信号进行放大,此时也 ...

  6. matlab生成常用信号(方波、三角波、随机信号、单位冲激)

    使用Octave在线: 1 方波 y=square(t,DUTY) 产生一个时长为t.幅值为±1的周期性方波信号,其中的DUTY表示占空比,即在信号的一个周期中正值所占的百分比: t=-2*pi/10 ...

  7. 基本的信号——三角脉冲信号(非周期锯齿波信号)

    其matlab代码如下: %单个三角脉冲信号(非周期锯齿波信号) t=-3:0.001:3; %定义时间变量 width=4; %定义三角波信号的宽度 A_x=0.5; %定义三角波信号最高点所对应的 ...

  8. matlab绘制三角波脉冲信号,单位冲激信号、单位阶跃信号、实指数信号、正弦信号、非周期矩形脉冲信号和非周期三角波脉冲信号的频谱...

    matlaB函数 连续周期三角波信号频谱图 N=10; n1=-N:-1; C1=-4*j*sin(n1*pi/2)/pi^2./n1.^2; C0=0; n2=1:N; C2=-4*j*sin(n2 ...

  9. 常见模拟电路设计 一(含仿真):方波、三角波、正弦波的互相发生

    FPGA最近有些整累了,给大家开个模拟电路设计的坑,内含干货,请放心食用 一.总体设计方案 二.单元电路设计和原理说明 2.1方波发生电路 波形发生电路可以由集成运放芯片构成运算电路来实现. 第一步的 ...

最新文章

  1. Hibernate5-多对一双向关联-fetch=select,lazy=proxy,在一的一方的class标签中添加
  2. latex_Texstudio+Miktex+Bibtex(参考文献引用信息)
  3. 4.1.9 文件系统的层次结构
  4. Spread for Windows Forms快速入门(10)---绑定到数据库
  5. 面向企业级前端应用的开发框架 UI5 的发展简史介绍
  6. html文字竖直书写,css 文字竖直居中的写法和图片垂直居中代码(图文)
  7. html5 开发工具_前端HTML5开发工具有哪些呢?
  8. 【【模板】严格次小生成树[BJWC2010]】
  9. 再有人问你Http协议是什么,把这篇文章发给他
  10. Fiddler抓包教程 ---> Fiddler如何证书配置与开启抓包完整详解
  11. win7 做无盘服务器配置,两种方法轻松实现无盘安装Win7系统
  12. 游戏文案策划:资料推荐
  13. 【Oracle】ora-00932:数据类型不一致:应为 -,但却获得BLOB
  14. sumif单列求和_EXCEL条件求和函数SUMIF的几种常见用法
  15. 6月书讯 | 初夏,正好读新书
  16. 弘辽科技:淘宝新链接要怎么补流量?有没有提升新链接的办法?
  17. threejs 加载两个场景_three.js 场景切换
  18. Anaconda 安装及使用
  19. 智能网联汽车信息安全学术研究现状
  20. vscode 使用ES6调试js

热门文章

  1. 龙族幻想导入数据id_龙族幻想:一键捏脸数据ID 快速捏脸数据ID汇总分享
  2. 【聆思CSK6视觉AI开发套件试用】AI手势检测识别+编译Helloworld示例
  3. 今日金融词汇---金融衍生品,是什么?
  4. 35个强大的UI设计教程
  5. java高级后端研发工程师JD,京东资深后端JAVA研发工程师工资待遇怎么样 - JD京东商城 - 职友集...
  6. 凤姐模仿Lady Gaga 称和国外明星平起平坐(图)
  7. 无线路由速度简单解释
  8. 深读源码-java同步系列简介
  9. 【算法讲11:卡特兰数】默慈金数 | 那罗延数 | 施罗德数
  10. Linux基础入门教程