目录

一、IIC总线概述

1.1IIC总线简介

1.2IIC总线通信过程

1.3IIC总线寻址方式

二、IIC总线信号实现

2.1起始信号与停止信号

2.2字节传送与应答

2.3同步信号

三、典型IIC时序

四、小作业


一、IIC总线概述

1.1IIC总线简介

IIC总线

IIC总线是Philips公司在八十年代初推出的一种串行、半双工总线

主要用于近距离、低速的芯片之间的通信;IIC总线有两根双向的信号线一根数据线SDA用于收发数据,一根时钟线SCL用于通信双方时钟的同步;IIC总线硬件结构简单,成本较低,因此在各个领域得到了广泛的应用。

IIC总线是一种多主机总线,连接在IIC总线上的器件分为主机和从机主机有权发起和结束一次通信,而从机只能被主机呼叫;当总线上有多个主机同时启用总线时,IIC也具备冲突检测和仲裁的功能来防止错误产生;

每个连接到IIC总线上的器件都有一个唯一的地址(7bit),且每个器件都可以作为主机也可以作为从机(同一时刻只能有一个主机),总线上的器件增加和删除不影响其他器件正常工作;IIC总线在通信时总线上发送数据的器件为发送器,接收数据的器件为接收器;

1.2IIC总线通信过程

1.主机发送起始信号启用总线
2.主机发送一个字节数据指明从机地址和后续字节的传送方向
3.被寻址的从机发送应答信号回应主机
4.发送器发送一个字节数据
5.接收器发送应答信号回应发送器
… … (循环步骤4、5)

n.通信完成后主机发送停止信号释放总线

1.3IIC总线寻址方式

        IIC总线上传送的数据是广义的,既包括地址,又包括真正的数据。
        主机在发送起始信号后必须先发送一个字节的数据,该数据的高7位为从机地址,最低位表示后续字节的传送方向,'0'表示主机发送数据,'1'表示主机接收数据;总线上所有的从机接收到该字节数据后都将这7位地址与自己的地址进行比较,如果相同,则认为自己被主机寻址,然后再根据第8位将自己定为发送器或接收器。

二、IIC总线信号实现

2.1起始信号与停止信号

空闲时SCL和SDA都是高电平

SCL为高电平时,SDA由高变低表示起始信号
SCL为高电平时,SDA由低变高表示停止信号
起始信号和停止信号都是由主机发出,起始信号产生后总线处于占用状态

停止信号产生后总线处于空闲状态

2.2字节传送与应答

IIC总线通信时每个字节为8位长度,数据传送时,先传送最高位,后传送低位,发送器发送完一个字节数据后接收器必须发送1位应答位来回应发送器即一帧共有9位。

2.3同步信号

IIC总线在进行数据传送时,时钟线SCL为低电平期间发送器向数据线上发送一位数据,在此期间数据线上的信号允许发生变化,时钟线SCL为高电平期间接收器从数据线上读取一位数据,在此期间数据线上的信号不允许发生变化,必须保持稳定。

三、典型IIC时序

为了防止总线使用权被别的设备抢走,允许切换数据传输方向时不停止。

注:阴影部分表示数据由主机向从机传送,无阴影部分则表示数据由从机向主机传送;A表示应答, A非表示非应答,S表示起始信号,P表示终止信号 。

四、小作业

若使用IIC总线让从机给主机发送一个字节的数据0xA2,画出SCL和SDA上的时序图

注:从机地址为0x63

图画的太丑了凑合看吧。

Exynos_4412——IIC总线概述相关推荐

  1. IIC总线概述之时序(一)

    此文转载出处:https://www.cnblogs.com/BitArt/archive/2013/05/28/3103917.html 感谢博主的总结分享! AT24C02是由ATMEL公司提供的 ...

  2. stm32之IIC总线概述

    1.IIC通信协议定义 IIC通讯协议(Inter----Integrted Circuit)是由Phiips飞利浦公司开发的, 由于他引脚少,硬件实现简单,可拓展性强,不需要UASRT,CAN通讯协 ...

  3. Exynos_4412——IIC控制器和MPU6050

    目录 一.Exynos_4412下的IIC控制器 二.IIC寄存器 三.MPU6050原理 MPU6050 MPU6050的主要参数 MPU6050通信接口 MPU6050官方芯片手册 MPU6050 ...

  4. Linux底层IIC 总线的理解、调用函数以及常见面试问题

    对 IIC 总线的理解.调用函数以及常见面试问题 一.IIC 总线概述: IIC 即Inter-Integrated Circuit(集成电路总线) I2C总线是PHLIPS公司推出的一种串行总线, ...

  5. 对 IIC 总线的理解、调用函数以及常见面试问题

    一.IIC 总线概述: IIC 即Inter-Integrated Circuit(集成电路总线) I2C总线是PHLIPS公司推出的一种串行总线, I2C总线只有两根双向信号线.一根是数据线SDA, ...

  6. Exynos4412 裸机开发 —— IIC总线

    前言: I2C(Inter-Integrated Circuit)总线(也称 IIC 或 I2C) 是有PHILIPS公司开发的两线式串行总线,用于连接微控制器及外围设备,是微电子通信控制领域广泛采用 ...

  7. IIC总线协议,7位,8位,10位地址

    IIC总线 1.1. 概述 IIC开发于1982年,当时是为了给电视机内的CPU和外围芯片提供更简易的互连方式.电视机是最早的嵌入式系统之一,而最初的嵌入系统是使用内存映射(memory-mapped ...

  8. IIC总线的时钟同步和总线仲裁

    IIC简介: IIC 即Inter-Integrated Circuit(集成电路总线),这种总线类型是由飞利浦半导体公司在八十年代初设计出来的,主要是用来连接整体电路(ICS) ,IIC是一种多向控 ...

  9. 【转】IIC总线的FPGA实现

    转自:http://www.cnblogs.com/sunev/archive/2012/05/17/2506731.html 一.摘要 DE2_TV中,有关于寄存器的配置的部分,采用的方法是通过II ...

最新文章

  1. js正则验证手机号码有效性
  2. java 回调模式_总结!!!总结!!!java回调以及future模式
  3. python与excel结合-Python与Excel 不得不说的事情
  4. ClientScript.RegisterClientScriptBlock 不执行
  5. php带来互联网的影响,网络对我们的影响有哪些?
  6. 【华为敏捷/DevOps实践】2. Wiki凭什么持续得到开发人员和团队的喜爱
  7. 基于Xilinx FPGA实现PCIE2.0接口
  8. 威联通_万兆加持!威联通QNAP TS-932X,首次入手威联通NAS简单体验
  9. MySQL正则表达式的问题
  10. blender icons 图标
  11. CameraLink简介
  12. 2000坐标系xy坐标几位_2000坐标系(2000坐标系x和y坐标几位数)
  13. dp题目总结(1)——基础
  14. 电费我来降!5G用电支持十大地方标杆政策梳理
  15. YOLO多线程多模型运行模式的实施
  16. 用计算机画有常数的函数图像,函数图像
  17. c语言子函数作用是什么意思,C语言编译器中常见的函数用法以及作用详解
  18. STM32 KEIL软件设置程序烧写起始地址选择
  19. 员工每月工资数据集可视化分析
  20. 如何提高学生习作水平

热门文章

  1. cad角度命令怎么输入_怎么对角度进行尺寸标识 CAD钝角标注技巧
  2. 大学计算机应用b,西安理工大学《大学计算机应用》试题 B卷
  3. ToLua原理和使用教程
  4. 建模师一个月的真实工资是多少
  5. 第一个爬虫-爬取搜狗的一整张网页
  6. 计算机考研所用教材,计算机考研经验及所用教材
  7. Firefox 禁止中国用户!!
  8. linux for循环套for循环格式_FOR循环指令案例详解
  9. java使用正则表达式获取字符串中的所有英文单词或数字
  10. 《大侦探福尔摩斯2:诡影游戏》蓝光高清720P 1080P下载[2011最新动作]