平台:Quartus14.0, Altera Modelsim
综合后,进行功能仿真

  1. 生成Testbench模板:
    processing-start-start testbench template writer,打开生成的.vt文件修改。

  2. 将 Testbench (.vt)代码添加至 Quartus II仿真配置

  3. 调用modelsim
    tools-run simulation tool

  4. 观察信号
    操作主要有:
    zoom放大缩小;设置光标;

  5. 观察内部信号
    左键选中该模块,然后在右侧Objects窗口,选择希望观测的信号,然后右键,选择add wave按钮。

    依次点击“restart” -> "Run -all"按钮,即可重新开始仿真,所有信号就都有波形了。

  6. 保存波形界面
    保存波形界面,方便更改代码后,与新的波形界面对比。
    参考:https://blog.csdn.net/a757272193/article/details/78866557
    官方文档,saving waveforms between two cursors: 保存为wlf文件。建立两个cursor,在cursor2那一行998994.374ns下方右键点击filter waveform,然后在弹出的窗口中从cursor1选择到cursor2,选择一个地方保存就可以了。注意一定要在文件名中写保存为.wlf。不写的话接下来导入的过程中一定要先改文件后缀,不然无法识别。

    再次打开modelsim的时候,选择file->open,选择打开wlf文件,打开刚才的文件。这个时候就可以在左侧的栏中看到可以加入的模块和信号。这时就可以将它们加入wave中。

  7. 保存变量设置
    在wave窗口save format,可以保存变量设置。重开modelsim后,在wave窗口load之前保存的.do文件。可以避免重新设置变量。(还是得关modelsim)

注意

  1. 如果在源程序.v或.vt里修改,想重新仿真,必须得关闭modelsim重开。
    步骤麻烦,而且设置好的变量列表也没了。如果在modelsim中restart,run all,仿真的还是原来的代码。
    解决:先在modelsim中recompile,再到波形窗口restart

其他(尚未用到)
如果嫌信号名太长了看不清,直接点击左下角的隐藏/显示层次路径按钮就可以打开/关闭层次路径,仅显示信号名称

如果所有信号叠加在一起,不知道归属于哪个模块,可以依次使用“ctrl + a” -> "ctrl + g"快捷键来对归属于各个模块的信号进行快速分组。

参考资料:小梅哥

Quartus-Modelsim仿真方法相关推荐

  1. 【QuartusⅡ设计的bdf文件调用Modelsim仿真的方法】

    前言 提示:通过QuartusⅡQuartusⅡQuartusⅡ软件调用ModelsimModelsimModelsim软件,仿真顶层设计原理图(.bdf)文件,即可验证所设计的原理图(.bdfbdf ...

  2. 在quartus 和 ISE 里直接调用modelsim的方法(转)

    http://blog.sina.com.cn/s/blog_697e8abb0100muog.html 在quartus 和 ISE 里直接调用modelsim的方法 在这个论坛中我学到了很多东西, ...

  3. modelsim仿真quartus软件IP核错误及解决办法

    本人作为萌新.在这个寒假第一次接触FPGA,并且在仿真的过程中遇到了很多问题,并且通过互联网发现csdn上有许多大佬分享的心得与资料.虽然很多大佬的思路给了我启发,但是实现过程不够细致,导致仿真过程出 ...

  4. QUARTUS联合modelsim仿真(quartus13.0)

    设置仿真软件(Modelsim/ Modelsim-Altera)路径 (1)点击tools ->Options (2)选择EDA Tool Options PS:看自己情况设置,使用独立Mod ...

  5. FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  6. 基于FPGA简易电子琴设计+电路原理图+Modelsim 仿真+Quartus II 下载+源代码+激励文件

    一.总体电路结构设计 五大模块 按键同步输入模块 编码频率控制模块 分频计数模块 译码模块 二分频(方波)模块 二.Modelsim 仿真 同步输入仿真波形:模拟按键输入 key[3:0],经过同步输 ...

  7. 国产智多晶FPGA使用Modelsim仿真时器件库的编译方法

    大家好,我是小梅哥,这里给大家介绍国产FPGA厂家"西安智多晶"微电子的FPGA使用Modelsim软件编译仿真库,以使用modelsim仿真其RTL设计的方法.本博客将陆续发表更 ...

  8. 国产智多晶FPGA使用Modelsim仿真RTL设计方法

    大家好,我是小梅哥,这里给大家介绍国产FPGA厂家"西安智多晶"微电子的FPGA使用Modelsim软件仿真智多晶FPGA的RTL设计的方法.本博客将陆续发表更多国产FPGA的开发 ...

  9. Verilog笔记——数据检测/独热码检测——Quartus与Modelsim仿真

    MATLAB 与 FPGA无线通信.图像处理.数字信号处理系列 1.题目要求 输入32-bit数据,若是2的N次方(如1=20,2=21),输出1,否则输出0,复位时输出高阻态.(实际上,这是对32位 ...

  10. 独立于三大FPGA平台采用modelsim仿真

    文章目录 背景 1.Modelsim对Alteral器件的独立仿真 1.1 对Quartus 的仿真 1.2 对quartus 独立仿真实际案例 1.3 保存波形文件 2.Modelsim对ISE X ...

最新文章

  1. Spartan-6的I/O时钟缓冲器
  2. 基础才是重中之重~用好configSections让配置信息更规范
  3. 截取屏幕指定区域保存为BMP文件
  4. java redis 存session_JavaWeb: Redis存储Session方案
  5. 深度解读!时序数据库HiTSDB:分布式流式聚合引擎
  6. c语言vi运行编译文件,VC++6.0中如何编译运行及调试C语言程序文件.docx
  7. CENTOS7 Python3.7 PyAudio 安装
  8. POJ 2236 - Wireless Network ( 并查集 )
  9. linux进程实际内存大小,Linux进程内存用量分析之堆内存篇
  10. STL_算法_区间的比較(equal、mismatch、 lexicographical_compare)
  11. django路由层 虚拟环境 视图层
  12. 这个游戏引擎开源了!
  13. 用Python有限元框架Feon求解弹簧支座二维杆问题
  14. 千锋锋云智慧联合百度重磅打造人工智能产业学院建设方案
  15. 史上最后一位数学全才——庞加莱
  16. 双人对战的球类游戏ios源码项目
  17. 测试吃鸡fps软件,GTX1050Ti吃鸡足矣 2017热销游戏本吃鸡横评
  18. FCN全卷积网络模型——高分辨率遥感影像地物识别
  19. 关于微信公众号文章抓取
  20. 3.Flink-On-Yarn开发使用\原理\Session会话模式\Per-Job模式

热门文章

  1. CAN总线分析仪使用及调试方式
  2. 算法设计与分析基础(第3版)
  3. 听说你还在找录屏软件?珍藏单文件版录屏软件送给你
  4. 浏览器输入URL后,到网页显示,其间发生了什么?
  5. 人脸识别的代码及问题
  6. 单片机c语言程序源代码,51单片机C语言程序设计源代码
  7. eslint / prettier 检查格式配置、husky / lint-staged 强制校验、tslint 配置
  8. 收银系统服务器ip设置,如何修改打印机IP地址?
  9. linux安全策略加固文档_系统加固之Linux安全加固
  10. 【虚幻4】浅析UE4中的C++