在使用Vivado的除法器IP核时,Remainder Type可以选择为余数模式(Remainder),或者小数模式(Fractional)。输出的结果即为整数+余数(或者小数):而当输入信号是有符号数据时,小数模式下的数据是无法直接使用的,因为小数部分也会自带一个符号(可视作一个无整数位的定点数),所以需要进一步修正。

在使用过程中,对可能出现的三种情况 进行说明

1.若结果无整数部分,则直接对小数部分(带符号位)根据要求进行操作即可。

2.若结果存在整数部分,则对数据的正负进行判断:

若为正数,则舍去小数部分的符号位的0,再按要求进行截位;

若为负数,则负数部分减去1(补码运算),再舍去小数部分的符号位的1,最后按要求进行截位。

其中前两种情况很好理解,最后一种是笔者的经验,个人看法是因为整数和小数的负数补码分别取反加1了,而整体补码只要取反加1即可,相当于整数部分多加了一个1。

后续更改:

和同学交流后,发现更好的做法:

1.是先行判断结果的正负,然后将操作数全部取为正数;

2.进行除法运算,正常得出结果,舍去小数部分的符号位的0;

3.根据最初的判断调整结果的正负。

Vivado 除法器IP核 小数模式(Fractional)下结果的修正相关推荐

  1. vivado 除法器ip核的使用

    根据手册pg-151 Divider Generator v5.1 LUTMult This is recommended for operand widths less than or equal ...

  2. Xilinx vivado 常用IP核使用

    目录 1. Accumulator 12.0 2. Aurora 8B10B 11.1 3. Clocking Wizard 3.1. 时钟资源 4. Divider Generator 5.1 5. ...

  3. FPGA设计中,Vivado 调用IP核详细操作步骤

    FPGA设计中,Vivado 调用IP核详细操作步骤 今天给大侠带来了FPGA设计中,Vivado 调用IP核详细操作步骤,话不多说,手把手教学,请往下看. 首先咱们来了解一下vivado的IP核,I ...

  4. vivado调用IP核详细介绍

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  5. vivado CORDIC ip核计算arctan记录

    文章目录 前言 一.CORDIC ip核配置 二.CORDIC ip核接口 三.仿真波形 四.工程文件 前言 本文主要记录自己使用vivado CORDIC ip 核计算arctan的过程. 一.CO ...

  6. Vivado FIFO IP核接口信号介绍

    1.1 Vivado FIFO IP核接口信号介绍 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Vivado FIFO IP核接口信号介绍: 5)结束语. 1.1.2 ...

  7. Vivado MMCM IP核接口信号介绍

    1.1 Vivado MMCM IP核接口信号介绍 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Vivado MMCM IP核接口信号介绍: 5)结束语. 1.1.2 ...

  8. vivado dds IP核笔记

    vivado dds IP核笔记 DDS IP核在vivado提供的GUI界面中,可以选择三种配置: Phase Generator and SIN/COS LUT (DDS) SIN/COS LUT ...

  9. 弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩

    弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩 IP核:知识产权核,指某一方提供的.形式为逻辑单元的可重用模块.IP核通常已经通过了设计验证,设计人员以IP核为基础进行设计,可以 ...

  10. modelsim 独立仿真vivado fifo IP核

    1.前言 vivado内自带仿真器,或者可以通过vivado启动第三方仿真工具,联合仿真.但是很多时候,我们希望脱离vivado,利用modelsim或者vcs等第三方仿真工具,高效地仿真.本文介绍如 ...

最新文章

  1. glove 安装错误
  2. 又一次内存分配失败(关于overcommit_memory)
  3. CentOS7.6安装Nodejs(Npm)
  4. response细节点
  5. 【Python基础】11_Python中的字符串
  6. 【操作系统】Semaphore处理吸烟者问题
  7. [CareerCup] 4.4 Create List at Each Depth of Binary Tree 二叉树的各层创建链表
  8. 【开源】QuickPager ASP.NET2.0分页控件V2.0.0.1——支持多种数据库。让分页更加简单。...
  9. OneNET物联网云平台HTTP数据流上传与下发,使用Fiddler调试开关应用,stm32 esp8266物联网家居远程开关
  10. 基于Opencv实现车牌图片识别系统
  11. 关于淘宝自动登陆的尝试——神烦笔记
  12. 安全加密 - DEP, ASLR
  13. android在体检报告叫什么,体检报告分析app-体检报告分析软件-最火手机站
  14. 实验室设备管理系统mysql
  15. 互联网公司招聘--奇虎360--软件测试--笔试题
  16. 服务器扩容申请文档,服务器扩容操作
  17. FusionAccess桌面云模板制作
  18. zabbix 报警 Lack of free swap space on Zabbix server 处理
  19. 关于arduino驱动SH1106 OLED屏幕使用U8g2库显示案例
  20. 数据库理论 05 关系数据库设计——基于《数据库系统概念》第七版

热门文章

  1. 实战:高级,高级 让 Kubectl的输出像彩虹一样绚丽多彩-2021.11.13
  2. 牛客习题总结38(7月13日)
  3. 如何使用keepalive实现虚拟IP
  4. 操作系统饥饿现象_操作系统
  5. 嵌入式系统那些事-一张图秒懂系统启动流程
  6. ADB Interface 找不到驱动程序
  7. widows安装wxPython
  8. C语言基础——求1加到100的和
  9. DM642的PCI驱动编程笔记:缺页中断问题阐述以及与改变中断级相关的内核函数
  10. HTML之表单元素“Password”引发的思考-你的密码安全吗???