结构描述: 用门来描述器件的功能;
primitives(基本单元) : Verilog语言已定义的具有简单逻辑功能的功能模型(models);基本单元是Verilog开发库的一部分。大多数ASIC和FPGA元件库是用这些基本单元开发的。基本单元库是自下而上的设计方法的一部分

条件基本单元有三个端口:输出、数据输入、使能输入;Verilog有四种不同类型的条件基本单元
 这四种基本单元只能有三个引脚:output, input, enable;
 这些单元由enable引脚使能;
 当条件基本单元使能信号无效时,输出高阻态


基本单元实例化
在端口列表中,先说明输出端口,然后是输入端口;
实例化时实例的名字是可选项;
延迟说明和信号强度说明是可选项;
模块实例化(module instantiation)
模块实例化时模块必须有一个名字;
使用位置映射时,端口次序与模块的说明相同;(实际设计中,名称映射可能更通用一些。)
comp c1 (Q, R, J, K); // Positional mapping
使用名称映射时,端口次序与位置无关
comp c2 (.i2(K), .o1(Q), .o2®, .i1(J)); // Named mapping
comp c3 (Q, , J, K); // One port left unconnected,没有连接时会产生警告
没有连接的输入端口初始化值为x。
实例数组(现有综合工具不支持实例数组)
在说明实例数组时,实例必须有一个名字(包括基本单元实例)。其说明语法为:
<模块名字> <实例名字> <范围> (<端口>);
范围说明语法:[MSB : LSB]
bufif0 u[2:0] (out, in, en); // array of buffers 与下面三行等价
bufif0 u2 (out[2], in[2], en);
bufif0 u1 (out[1], in[1], en);
bufif0 u0 (out[0], in[0], en);
逻辑强度(strength)模型
逻辑强度模型决定信号组合值是可知还是未知的,以更精确的描述硬件的行为。
常见的需要信号强度才能精确建模的例子:
开极输出(Open collector output)(需要上拉);多个三态驱动器驱动一个信;MOS充电存储;ECL门(emitter dotting)

Verilog结构描述相关推荐

  1. 【 Verilog HDL 】不同抽象级别的Verilog HDL模型之门级结构描述

    本博文参考:<从算法设计到硬件逻辑实现>,仅供学习交流使用. Verilog模型可以是实际电路不同级别的抽象.这些抽象的级别和它们对应的模型类型共有以下五种: 1) 系统级(system) ...

  2. 以Vivado synthesis支持的Verilog结构来学习 Verilog语句可综合性

    查Verilog结构是否可综合 查Verilog 系统task与function是否可综合 查Verilog 基本单元(原语)是否可综合 查Verilog 保留的关键词 文章目录 1 Verilog ...

  3. (15)System Verilog结构体struct详解

    (15)System Verilog结构体struct详解 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog结构体struct详解 5) ...

  4. 半加器设计(结构描述法)

    实验内容: 要求用VHDL结构描述的方法设计一个半加器. View Code 1 library ieee; --第一个低层设计实体 xor_gate 2 use ieee.std_logic_116 ...

  5. VHDL中的行为描述、数据流(RTL)描述和结构描述

    VHDL的三种描述方法 1.行为描述 2.数据流(RTL)描述 3.结构描述 VHDL中的行为描述.数据流(RTL)描述和结构描述的区别主要在于结构体. 1.行为描述 行为描述输入与输出间转换的行为, ...

  6. 以下关于android应用程序的目录结构,以下关于Android应用程序的目录结构描述中,错误的是哪个()...

    以下关于Android应用程序的目录结构描述中,错误的是哪个() 更多相关问题 吸收实验中,塔底塔顶气相中二氧化碳的浓度采用( )测量. <国际海上避碰规则>适用于: 人的好奇心和强烈的求 ...

  7. 使用Verilog语言描述计数器——脉动计数器;脉动计数器具有减法计数功能。采用模块设计和行为级设计方法。

    使用Verilog语言描述计数器--脉动计数器. 内容说明: 本次设计的计数器属于脉动计数器.使用Verilog语言设计,并且设计方法采用模块设计和简单的行为级设计.会有这两种设计的对比测试.最后,会 ...

  8. MPEG-7描述子(4)——颜色结构描述子CSD

    颜色结构描述符是一个颜色特征描述符,它既包括颜色内容信息(类似于颜色直方图),又包括内容的结构信息.其主要功能是图像与图像的匹配,一般用于静态图像检索.它通过由几个图像采样组成的结构元素,表达了一幅图 ...

  9. 人脑是怎样认知图像的?——结构描述模式(传统模式识别之五)

    结构描述模式一般用图来表示,图的节点表示图像某一部分或某一特性,图的节点之间用有向线段相联,说明图像各部分或各特性之间的关系. 图像特征可以是亮度.颜色.纹理.大小.取向.形状等等,特征的描述可以是文 ...

最新文章

  1. 单链表-删除单链表L中奇数号节点(双指针法)
  2. pg数据库生成随机时间_postgresql 时区与时间函数-阿里云开发者社区
  3. windows加固方案
  4. 输入过欠压保护电路原理图
  5. 为何有些程序员总是想要“干掉”产品经理?
  6. SEO(search engine optimization)搜索引擎优化
  7. python3.5+tesseract+adb实现西瓜视频或头脑王者辅助答题
  8. 2019蓝桥杯B组:完全二叉树权值
  9. 深度学习自学(一):Loss function 损失函数
  10. 如何在 Mac 上使用“接力”回到上次离开的地方?
  11. Windows7 arp表删除方法
  12. 某教程学习笔记(一):1、windows基础
  13. router跳转外部链接
  14. 你对“happen-before原则”的理解可能是错的?
  15. oracle资产中fa_deprn_summary存储内容,关于FA的YTD Deprn的一个问题
  16. python求两数最大公因数_Python求两个数的最大公约数
  17. 康耐视VisionPro
  18. AtCoder Grand Contest 012 B Splatter Painting(记忆化搜索)
  19. 信号量Semaphore了解过吗?
  20. 64匹马8个跑道问题

热门文章

  1. 猜价格游戏c语言课程设计,肿么用C#编写一个猜价格的小程序?
  2. 广讯通显示连接服务器失败怎么办,苹果手机连接服务器失败原因
  3. but only one is allowed. 重复处理跨域请求
  4. tf.losses.mean_squared_error函数浅析
  5. 安装向日葵远程后电脑亮度和夜间模式不能使用了——故障笔记
  6. python爬取千图网_python爬取lol官网英雄图片代码
  7. 已经过去2周了,你感觉怎么样?
  8. SQL(Oracle) 日期转换为英文年月格式
  9. 浏览器导航被劫持解决方法
  10. Wargames学习笔记--Natas