实验内容:

要求用VHDL结构描述的方法设计一个半加器。

View Code

 1 library ieee;                        --第一个低层设计实体 xor_gate
 2 use ieee.std_logic_1164.all;
 3 entity xor_gate is
 4     port(op1 , op2 : in std_logic;
 5         xor_result: out std_logic);
 6 end xor_gate;
 7 architecture behave of xor_gate is
 8 begin
 9     xor_result <= op1 xor op2;
10 end behave;
11
12
13 library ieee;                        --第二个低层设计实体 and_gate
14 use ieee.std_logic_1164.all;
15 entity and_gate is
16     port(op1 , op2 : in std_logic;
17         and_result : out std_logic);
18 end and_gate;
19 architecture behave of and_gate is
20 begin
21     and_result <= op1 and op2;
22 end behave;
23
24
25 library ieee;                        --顶层设计实体 half_adder
26 use ieee.std_logic_1164.all;
27 entity half_adder is
28     port(a , b       : in std_logic;
29          sum , carry : out std_logic);
30 end half_adder;
31 architecture struct of half_adder is
32 component xor_gate                    --说明元件 “异或门” xor_gate
33     port(op1 , op2  : in std_logic;
34         xor_result : out std_logic);
35 end component;
36 component and_gate                    --说明元件“与门” and_gate
37     port(op1 , op2  : in std_logic;
38          and_result : out std_logic);
39 end component;
40 begin
41     G1 : xor_gate port map                --对“异或门”xor_gate的一次例化
42             (op1 => a , op2 => b , xor_result => sum);
43     G2 : and_gate port map                --对“与门”and_gate的一次例化
44             (op1 => a , op2 => b , and_result => carry);
45 end struct;

半加器设计(结构描述法)相关推荐

  1. 0x08算法设计与分析复习(二):算法设计策略-回溯法2

    参考书籍:算法设计与分析--C++语言描述(第二版) 算法设计策略-回溯法 子集和数 问题描述 已知n个不同的正数wi(0≤i≤n−1)的集合,求该集合的所有满足条件的子集,使得每个子集中的正数之和等 ...

  2. 从决策树学习谈到贝叶斯分类算法、EM、HMM - 结构之法 算法之道

    转载自:http://scm.zoomquiet.io/data/20121220000040/index.html 第一篇:从决策树学习谈到贝叶斯分类算法.EM.HMM (Machine Learn ...

  3. VHDL中的行为描述、数据流(RTL)描述和结构描述

    VHDL的三种描述方法 1.行为描述 2.数据流(RTL)描述 3.结构描述 VHDL中的行为描述.数据流(RTL)描述和结构描述的区别主要在于结构体. 1.行为描述 行为描述输入与输出间转换的行为, ...

  4. 【 Verilog HDL 】不同抽象级别的Verilog HDL模型之门级结构描述

    本博文参考:<从算法设计到硬件逻辑实现>,仅供学习交流使用. Verilog模型可以是实际电路不同级别的抽象.这些抽象的级别和它们对应的模型类型共有以下五种: 1) 系统级(system) ...

  5. 软件测试用例_软件测试用例设计实战场景法

    不点蓝字,我们哪来故事? 目录 场景法 扩展例子 场景法介绍 影子 场景法用例设计举例 场景法设计用例步骤和表示 场景法举例 总结 场景法的注意点 场景法 影子 本来想直接跳过场景法的,今天群友提出问 ...

  6. Verilog结构描述

    结构描述: 用门来描述器件的功能: primitives(基本单元) : Verilog语言已定义的具有简单逻辑功能的功能模型(models):基本单元是Verilog开发库的一部分.大多数ASIC和 ...

  7. 以下关于android应用程序的目录结构,以下关于Android应用程序的目录结构描述中,错误的是哪个()...

    以下关于Android应用程序的目录结构描述中,错误的是哪个() 更多相关问题 吸收实验中,塔底塔顶气相中二氧化碳的浓度采用( )测量. <国际海上避碰规则>适用于: 人的好奇心和强烈的求 ...

  8. skynet框架的设计结构

    前言:skynet 是一个为网络游戏服务器设计的轻量框架.当然,它并不只是用于游戏服务器框架,不过,作为一个游戏开发者,自然是把skynet当做一个游戏服务框架来看待,更何况我是一个后台服务器开发的程 ...

  9. [原创]测试用例设计之场景法法

    [原创]测试用例设计之"场景法"法  场景法设计测试用例,主要用在于事件触发流程中,当某个事件触发然后就形成相应的场景流程,不同的事件触发,不同顺序和不同的处理结果,就形成一系列的 ...

最新文章

  1. Angular 一个简单的指令实现 阻止事件扩散
  2. 【PAT乙级】1034 有理数四则运算 (20 分)
  3. 在idea中使用构造方法
  4. 尚硅谷_jQuery_学习笔记
  5. RichTextBox 右键显示 ContextMenuTrip
  6. P3100-[USACO14JAN]建造滑雪场【贪心,dp】
  7. dbhelp mysql c_C++写的一个MYSQL控制台(3)
  8. latex algorithm 引用格式错误
  9. Transformer在图像复原领域的降维打击!ETH提出SwinIR:各项任务全面领先
  10. office 2010安装包
  11. 经纬度计算距离公式(测地线距离)
  12. latex公式符号大全
  13. 2014腾讯实习生招聘武汉试题
  14. [乡土民间故事_徐苟三传奇]第二回_巧答言长工骂财主
  15. c++做题记录1 01:全面的MyString 查看提交统计提问 总时间限制: 1000ms 内存限制: 65536kB 描述 程序填空,输出指定结果
  16. pn532中遇到的坑-----Mifare1 Card(一)
  17. 云师大计算机考研考什么,云南师范大学研究生院,云师大考研复试好难啊。
  18. 几步教会你solidworks中的爆炸视图的制作
  19. python中成绩及格判断代码_python判断语句(第九节)
  20. 不懂复盘,再努力都是低水平重复

热门文章

  1. 天然产物来源的新型除草剂研究取得进展
  2. 次时代游戏模型制作教程
  3. php 将汉字转换成拼音,利用PHP怎么将汉字转换为拼音
  4. wegame服务器维护启动游戏失败,wegame连接服务器失败
  5. CSU_WF-中南大学网络服务队2020招新培训-硬件知识
  6. SQLSERVER走起微信公众帐号已经开通搜狗微信搜索
  7. ssm整合开发配置文件
  8. 团队项目介绍--基于区块链的教育信息管理系统
  9. 论文阅读:Semantic Aware Attention Based Deep Object Co-segmentation(ACCV2018)
  10. Swing实现动画效果(实现Loding动画)【转载】