组合逻辑 3-8译码器实现

1 原理

什么是3-8译码器?

将三位的输入信号译码得到一个8位的输出信号

3位的信号的值是n,8位输出信号的第n位上数字就为1

  • 源代码
module decoder_3_8(a,b,c,out);input a;input b;input c;output reg [7:0] out;//以Always块描述的信号赋值,被赋值对象必须定义为reg类型//a、b、c变成了一个三位的信号,这种操作叫位拼接always@(*) begincase({a,b,c})3'b000: out = 8'b0000_0001;3'b001: out = 8'b0000_0010;3'b010: out = 8'b0000_0100;3'b011: out = 8'b0000_1000;3'b100: out = 8'b0001_0000;3'b101: out = 8'b0010_0000;3'b110: out = 8'b0100_0000;3'b111: out = 8'b1000_0000;endcaseendendmodule
  • 仿真代码
`timescale 1ns / 1psmodule decoder_3_8_tb();reg a;reg b;reg c;wire [7:0] out;decoder_3_8 UUT(.a(a),.b(b),.c(c),.out(out));initial begina=0;b=0;c=0;#200; //延迟200nsa=0;b=0;c=1;#200; //延迟200nsa=0;b=1;c=0;#200; //延迟200nsa=0;b=1;c=1;#200; //延迟200nsa=1;b=0;c=0;#200; //延迟200nsa=1;b=0;c=1;#200; //延迟200nsa=1;b=1;c=0;#200; //延迟200ns              a=1;b=1;c=1;#200; //延迟200nsend       endmodule
  • 功能仿真

根据功能仿真,我们可以发现,程序能满足我们的需求

  • 分配管脚

程序能满足我们的需求

图中为abc=101 (5) 第5位亮

【FPGA】组合逻辑 3-8译码器实现相关推荐

  1. FPGA组合逻辑训练-三八译码器

    实验原理: 在数字电路中可以根据电路功能的不同分为,组合逻辑电路与时序逻辑电路. 组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关. 而时序逻辑从电路特征上看 ...

  2. (49)FPGA面试题2-4译码器实现

    1.1 FPGA面试题2-4译码器实现 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题2-4译码器实现: 5)结束语. 1.1.2 本节引言 " ...

  3. 【FPGA】QuartusII13.0实现组合逻辑 3/8 译码器

    3/8译码器一般用于资源扩展,如驱动led阵列时,即使是FPGA,IO资源也明显不足,借此可以扩展出更多的IO口,通过实现 3/8译码器,记录一下FPGA开发的基本步骤 1.代码编写 module d ...

  4. fpga组合逻辑(4位比较器、8-3优先编码器、38译码器实现全减器、数据选择器实现逻辑函数等)

    目录 组合逻辑 VL11 4位数值比较器电路 VL12 4bit超前进位加法器电路 VL13 优先编码器电路① VL14 用优先编码器①实现键盘编码电路 VL15 优先编码器Ⅰ VL16 使用8线-3 ...

  5. FPGA组合逻辑部件LUT的基本原理

    数字逻辑电路一般都是时序部件(触发器)+组合逻辑(与门,或门,异或门等)来完成一系列的功能.既然完成一个特定的功能可以通过组合逻辑来实现,为什么要引入时序逻辑呢? 这是因为时序逻辑是基于时钟沿(上升沿 ...

  6. FPGA学习日志——三八译码器Decoder

    三八译码器 译码器:译码是编码的逆过程,其功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器.如果有n个二进制选择线,则最多可译码转换成2n个数据 实验框图.真 ...

  7. FPGA编码器与七段译码器

  8. (77)译码器与编码器(八三编码器)

    (77)译码器与编码器(八三编码器) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)译码器与编码器(八三编码器) 5)技术交流 6)参考资料 2 FPGA入门与提升 ...

  9. (76)译码器与编码器(三八译码器)

    (76)译码器与编码器(三八译码器) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)译码器与编码器(三八译码器) 5)技术交流 6)参考资料 2 FPGA入门与提升 ...

  10. FPGA入门笔记(野火系列教程)

    文章目录 组合逻辑 多路选择器 译码器 理论 实战-三八译码器 Gitee仓库:https://gitee.com/Shaoyang1202/FPGA_Verilog 组合逻辑 组合逻辑电路的输出信号 ...

最新文章

  1. 团队实践,我们是怎么用敏捷开发工具Leangoo的
  2. Ogre 学习笔记 (二) 环境:阴影 、雾效、灯光
  3. 关于this的指向问题
  4. 面积最大的全1子矩阵
  5. 在大数据时代,每家公司都要有大数据部门吗?
  6. python 12306 车次数据获取
  7. 父子组建传值_浅谈Vue父子组件和非父子组件传值问题
  8. 开发问题及解决 java.lang.ClassCastException:android.widget.LinearLayout$LayoutParams
  9. php 数组 判断可以吗,php判断一个数组是否为有序
  10. oracle复合索引第一个字段,复合索引的先决使用条件 - stacktestor的个人空间 - 51Testing软件测试网 51Testing软件测试网-软件测试人的精神家园...
  11. 黑马python5_黑马Python5.0+人工智能课程升级5.0版本!【完整无秘】
  12. VMware虚拟机网络设置
  13. 利用java的for循环画一棵圣诞树
  14. FRM 风险管理基础:复习提纲二
  15. 【论文阅读】Pothole Detection Based on Disparity Transformation and Road Surface Modeling
  16. 按下手机home键,选择自己的launcher
  17. python 画ks曲线_Ks密度曲线分布图绘图
  18. 门这边、门那边的2个世界...
  19. 基恩士KV06N程序 基恩士KV06N,昆仑通态触摸屏 全自动LED划线点装机,PLC本体伺服轴控制
  20. SQL教程——存储过程

热门文章

  1. 优达(Udacity)customer_segments
  2. 推销计算机英语作文,2018年12月英语四级作文范文:卖电脑
  3. 输入输出系统 ——I/O方式(程序查询、程序中断、DMA方式)
  4. 多功能科学计算机在线使用,多功能科学计算器
  5. android .dex文件,对于Android DEX文件详细说明
  6. AppStore信息填写指南
  7. css实现分割线功能,各种各样的分割线(附效果图)
  8. mysql 左连接查询记录数,MySQL左连接查询记录行数
  9. Python 读取/处理 s2k/$2k 文本文件
  10. 遥感图像空间分辨率获取