举例的工程是一个加法器,待测试功能模块是add.v,测试激励是tb_add.v,do文件是tb.do

下面直接附上主要步骤:

(1)首先新建文件夹,如sim_add,在该文件夹下再新建3个文件夹,分别是:sim、tb、src

sim:modelsim的工程文件存放,如tb.do

tb:测试激励文件存放,如tb_add.v

src:待测试的模块代码(*.v、仿真库文件.v、IP模块文件.v),如add.v

其中如果工程里带有IP的设计,则src文件夹里还需要仿真库文件和IP模块文件

接着编写do文件,存放在sim文件夹下,一般do文件都写些如下的操作:

vlib work
vmap work workvlog -novopt -incr -work work "../tb/tb_add.v"
vlog -novopt -incr -work work "../src/add.v"vsim -novopt work.tb_addadd wave -noupdate /tb_add/clk
add wave -noupdate /tb_add/rst_n
add wave -noupdate -hex /tb_add/a1
add wave -noupdate -hex /tb_add/a2
add wave -noupdate -hex /tb_add/outrun -all

以后进行通用时,需要修改的几句主要是以下几句:

编译相关文件:vlog -novopt -incr -work work "../tb/tb_add.v"      vlog -novopt -incr -work work "../src/add.v"

仿真测试激励:vsim -novopt work.tb_add

添加信号波形:add wave -noupdate /tb_add/clk           add wave -noupdate /tb_add/rst_n

                   add wave -noupdate -hex /tb_add/a1    add wave -noupdate -hex /tb_add/a2

add wave -noupdate -hex /tb_add/out

可以添加自己需要观察的波形信号,这个很方便,省去了信号查找的麻烦

最后打开modelsim,在File/Change Directory下指定仿真工程的文件夹位置,如x:/sim_add/sim下即可。

或者在Tcl框中直接输入cd命令,如下图所示:

接着在Tcl框里输入do *.do即可进行仿真

利用do文件方式进行modelsim仿真相关推荐

  1. FPGA学习笔记(五)Testbench(测试平台)文件编写进行Modelsim仿真

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  2. 使用命令来进行modelsim仿真

    本文以一个简单的分频实验来讲述使用命令行方式进行modelsim仿真. (1)新建一个工程,添加一个文件fp主程序,并进行编译无误.在命令窗口输入vsim work.fp表示仿真work工作库的fp模 ...

  3. testbench文件显示波形_modelsim仿真没有波形或看不到波形的原因及解决方法 - 全文...

    关于modelsim仿真时出现 No objects found matching '/*' 问题在Modelsim6.2系列版本中仿真时,点击start simulateion后,出现Objects ...

  4. VIVADO仿真读写文件方式

    VIVADO仿真读文件方式 大部分编译器和仿真器在读文件的时候需要预先打开文件.在VIVADO自带仿真器中,读文件不需要预先打开要读取的文件,也不需要将文件加入VIVADO工程内部,只需要利用系统函数 ...

  5. FPGA开发技巧:Modelsim仿真.do文件详细解析 原创 特权同学

    FPGA开发技巧:Modelsim仿真.do文件详细解析 原创 特权同学 FPGA快乐学习 以<FPGA边码边学 视频教程>"Lesson06 分频计数器设计"中的si ...

  6. 【QuartusⅡ设计的bdf文件调用Modelsim仿真的方法】

    前言 提示:通过QuartusⅡQuartusⅡQuartusⅡ软件调用ModelsimModelsimModelsim软件,仿真顶层设计原理图(.bdf)文件,即可验证所设计的原理图(.bdfbdf ...

  7. matlab 仿真元件封装,利用M文件与封装模块简化Simulink仿真模型.pdf

    第27卷 第10期 计算机工程 2001年10只 V(,{.27N010 ComputerEngineering October2001 立献标识码:" ·软件技术与数据库· 文童编号:10 ...

  8. 基于FPGA简易电子琴设计+电路原理图+Modelsim 仿真+Quartus II 下载+源代码+激励文件

    一.总体电路结构设计 五大模块 按键同步输入模块 编码频率控制模块 分频计数模块 译码模块 二分频(方波)模块 二.Modelsim 仿真 同步输入仿真波形:模拟按键输入 key[3:0],经过同步输 ...

  9. modelsim仿真之do文件

    最重要的一步是编辑do文件中的内容:(需要修改三个地方的内容)第一是testbench文件:也就是仿真文件名,把vlog后面的文件换成你的仿真文件名,设计文件就是你写源程序的文件,你要编译的文件全部加 ...

最新文章

  1. 可以在某些场合替代onload事件的domReady事件
  2. php 云技术,什么叫云技术?
  3. JAVA中的线程安全与非线程安全
  4. 【Java】《Java编程的逻辑》第4章 类的继承 笔记+感悟分享
  5. 电脑硬件检测_硬盘检测工具哪个好?在win10中这样检查磁盘健康状态就对了
  6. PHP如何判断提交表单中多个复选框是否选中?
  7. C++STL::两种方式实现STL容器的reference语义
  8. 2019 live tex 发行版_TexLive 2019 安装指南
  9. 睡不着?用药成瘾?深受失眠困扰的北上广白领们必看
  10. 基于Sentinel的高可用限流系统HASentinel设计及实现
  11. mysql和jdbc(韩)
  12. python接口自动化13-流量回放
  13. 左岸语不惊人死不休系列摘录
  14. arcgis路网密度计算、提取中心线、面积计算
  15. C语言入夏标志,[二级C语言程序设计.docx
  16. 703. 数据流中的第K大元素
  17. html ico 图片 无效,设置favicon.ico manifest.json无效
  18. Window server 2008 搭建DNS服务器
  19. linux 内核启动Initramfs与initrd 及其挂载
  20. proc_mkdir与proc_create

热门文章

  1. 基于 RT-Thread赛车控制算法开发
  2. DG8SAQ 矢量网络分析
  3. 2020年春季学期信号与系统课程作业参考答案-第九次作业
  4. aac蓝牙编解码协议_蓝牙音频编码哪个音质好?今天我们来逐一解读
  5. 8s 接口压力测试_Python Locust 基于Robot Framework实现关键字驱动接口性能测试
  6. UBUNTU 下查看所有的C库函数和查询 LINUX 编成必备
  7. 初识片选信号和中断控制器
  8. java jdbc datetime_Java JDBC 操作二进制数据、日期时间
  9. ugui 转轮_Unity3D研究院之Android NDK编译C/C++结合Unity实现本地数据共享(二十八)...
  10. Matlab画图时的线型、标记以及颜色简记