1、数制转换

R进制数转换为十进制数:按权展开,相加

十进制数转化为R进制数:整数部分,除R取余法,除到商为0为止。小数部分,乘R取整法,乘到积为0为止。

二进制数转化八进制数:三位一组,整数部分左边补0,小数部分右边补0。反之亦然。

二进制数转化十六进制数:四位一组,整数部分左边补0,小数部分右边补0。反之亦然。


2、什么是竞争和冒险?如何消除?

之前写过类似的系列文章,参考自《FPGA之道》:竞争

如果在某一个时刻,从组合逻辑的某一个输入端到其输出端存在两条以上的电信号通路时,就称该组合逻辑在当前状态下针对这个输入端存在竞争。

举例:

当B=1、C=1时,电路可以化简为:

输入为A先于not(A)A非到达或门,因此,如果初始令A为1,则NOT(A)为0,之后A变化为0,则由于A先到或门,导致有一小段零脉冲出现在输出中,这是非预期的。

信号由于经由不同路径传输达到某一汇合点的时间有先有后的现象,就称之为竞争,由于竞争现象所引起的电路输出发生瞬间错误的现象,就称之为冒险,FPGA设计中最简单的避免方法是尽量使用时序逻辑同步输入输出。

在FPGA中消除险象的方法:

后向消除中最具有代表性的方法就是时序采样法,在消除险象的各种方法中,时序采样法的使用最为广泛。可以说,只要你描述的是一个时序逻辑,你就已经有意或无意的使用了时序采样法来消除险象。

时序采样法几乎是万能的,因为对于任何一个组合逻辑来说,其输入与输出之间总存在一个最大延迟的路径。设这个最大的路径延迟为T,如果其输入在0时刻变化一次后就保持不变,那么T时刻后,其输出肯定会稳定到新输入应该对应的输出上,而在0到T时刻之间,该组合逻辑的输出可能会出现一系列不稳定现象。

因此,如果该组合逻辑是同步逻辑的一部分,那么我们只需要适当选择周期大于T的信号作为时钟就可以绕过0到T这段有问题的时刻,而采样到T时刻以后的稳定且正确的输出。并且由于每次组合逻辑的输入改变后都会在接下来至少T时刻以上的时间保持不变,因此也能保证输出具有足够的时间达到稳定。

由此可见,时序采样法的最大优点就是根本不关心组合逻辑的内容,因此适用面非常广。
--------------------- 
作者:李锐博恩(Reborn) 
来源:CSDN 
原文:https://blog.csdn.net/Reborn_Lee/article/details/84503277 
版权声明:本文为博主原创文章,转载请附上博文链接!


3、用D触发器带同步高置数和异步高复位端的二分频的电路,画出逻辑电路,Verilog描述。

reg     Q;
always @(posedge clk or posedge rst)begin
if(rst == 1'b1)Q <= 1'b0;else if(set == 1'b1)Q <= 1'b1;else Q <= ~Q;
end

用Quartus生成RTL电路图:

尝试过用ISE生成,可是ISE唯一一点让我不满意的就是这个图的生成,看起来很让人眼花缭乱,不简洁:

当然,生成的原理图是一模一样的,只是元件风格问题。Quartus更接近于手写。

为了比较也是拼了,Vivado生成的原理图也比较简洁:

看来还是得用Vivado呀,可是一些芯片,vivado还不支持!支持一些高大上的芯片。

FPGA笔试题解析(二)相关推荐

  1. FPGA笔试题解析(五):串并转换与奇分频电路

    题目:使用状态机实现序列检测器? 序列检测器的状态机实现,以前的博文写的很多,这里贴出两个简单易懂的,看需要的部分即可: 序列检测器的Moore状态机实现 序列检测器的Mealy状态机实现 题目:用V ...

  2. FPGA笔试题解析(一)

    1.简述ASIC设计流程,并列举出各部分用到的工具? 说实话,半路出家,没弄过ASIC,但是经常遇到ASIC与FPGA开发做比较的题目,不得不主动了解下ASIC: ASIC全称: Applicatio ...

  3. FPGA笔试题解析(四)

    1.什么是高阻态? 高阻态:电路的一种输出状态,既不是高电平也不是低电平,如果高阻态再输入下一级电路的话,对下级电路无任何影响,可以理解为断路,不被任何东西所驱动,也不驱动任何东西. 之前写过和高阻态 ...

  4. FPGA笔试题解析(三)

    1.简述建立时间和保持时间,画图表示? 建立时间Tsu(setup):触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间. 保持时间Th(hold):触发器在时钟上升沿到来之后,其数 ...

  5. 【C语言指针】 回调函数、冒泡函数模拟实现qsort、指针和数组笔试题解析

    目录 一.回调函数 定义: 用回调函数形式实现加法运算 二.qsort 函数参数: void指针 用qsort排序整型和结构体 用冒泡函数模拟实现qsort,排序整型和结构体 三.指针和数组笔试题解析 ...

  6. FPGA笔试题知识点汇总(41~60)

    FPGA笔试题知识点汇总 第三章 FPGA笔试题知识点汇总(41~60) 文章目录 FPGA笔试题知识点汇总 前言 一.题目及解析? 1.IC设计过程中将寄生效应的怎样反馈影响设计师的设计方案?(寄生 ...

  7. 腾讯2016春招安全岗笔试题解析

    腾讯2016春招安全岗笔试题解析 昨天(4月2日)晚上7:00到9:00做了腾讯春招安全岗的笔试题.下面解析一下: 题目解析 1 在生成随机数前用当前时间设置随机数种子应该是安全的.如果程序用固定的数 ...

  8. 2021搜狐畅游数据分析笔试题解析

    公众号后台回复"图书",了解更多号主新书内容 作者:虾壳可乐 来源:可乐的数据分析之路 哈喽,大家好,我是可乐 很多同学留言想看数据分析类岗位的笔试/面试题目,今天这篇文章就是了. ...

  9. IC/FPGA笔试题分析(五)

    下一个笔试题是华为,虽然只有单选和多选,但还是需要准备一下: 这是一个电路中的某一条关键路径,或者是一个单独的设计,都可以去求其最高频率. 考虑到有时钟抖动等许多情况,所以此电路的最小周期应该为: T ...

最新文章

  1. SAP MM 价格重估产生的差异
  2. 科技公司高管职位知多少?(转)
  3. 比特币现金锚定货币交易所越来越多
  4. 三维渲染引擎设计与实践(四)
  5. Echarts的入门
  6. android 6.0 log,android 6.0 logcat机制(二)logcat从logd中获取log保存到文件中
  7. springboot-异常处理使用与原理解析
  8. C语言实现方差variance计算(附完整源码)
  9. find : 路径必须在表达式之前
  10. yolov3安卓实现_从零实现YOLOv3
  11. json串转成list
  12. Html5 meta 笔记
  13. asp php 对照表,asp 与php中常用函数对比
  14. appium 处理滑动的方法
  15. leetcode之53.最大子序和
  16. 安装allennlp库
  17. multisim 10 小知识
  18. PHP-laravel框架一1
  19. CAN总线知识点梳理
  20. Ubuntu开发嵌入式串口权限问题

热门文章

  1. 敏捷结果30天之第六天:周五回顾,找到三件做的好以及三件需要改善的事情...
  2. php 自学 经验,学习PHP:PHP学习的几个问题经验总结
  3. .net mvc actionresult 返回字符串_.NET架构师知识普及
  4. python定义一个字典并遍历字典中的键和值_python字典操作总结
  5. layer的一种用法,自己画出弹出框样式
  6. 把表格的一列生成数组
  7. java 注册忘记密码操作_Java实战项目(1):swing图书管理系统的登录,注册,找回密码,增删查,个人解析等...
  8. mysql栏的范围外值,MySQL中各种字段的取值范围-数据库专栏,MySQL
  9. java juel表达式_activiti 自定义函数解析juel表达式
  10. arpr选定的文件非rar压缩包_python解压压缩包