整个过程我没有记录,因为之前记录过了,都是一样的,这里只是记录了下思路。之前的博文:全过程实现一个最简单的FPGA项目之PWM蜂鸣器控制

这些LED的正极连接510欧姆限流电阻到3.3V电压,负极都连接到了FPGA的IO引脚上。因此,FPGA可以通过引脚的高或低电平控制LED的亮灭状态。

8个LED指示灯,我们依次给他们赋值,每次只有一个LED点亮,每次点亮某个LED的时间一定(固定延时)。8个LED依次被点亮一次,如此循环便成就了流水灯的效果。

Verilog HDL设计代码

module sp6(input ext_clk_25m,    //外部输入25MHz时钟信号input ext_rst_n, //外部输入复位信号,低电平有效output reg[7:0] led      //8个LED指示灯接口    );                                                  //-------------------------------------
reg[19:0] cnt;      //20位计数器                                                            //cnt计数器进行循环计数
always @ (posedge ext_clk_25m or negedge ext_rst_n)                                    if(!ext_rst_n) cnt <= 20'd0;                                           else cnt <= cnt+1'b1;                                                                     //-------------------------------------//计数器cnt计数到最大值时,切换点亮的指示灯
always @ (posedge ext_clk_25m or negedge ext_rst_n) if(!ext_rst_n) led <= 8'b1111_1110;   //默认只点亮一个指示灯D2else if(cnt == 20'hfffff) led <= {led[6:0],led[7]};        //循环移位操作else ;                  endmodule

找到输入输出对应的引脚在FPGA上的位置:

根据这些写引脚约束:


# PlanAhead Generated physical constraints NET "led[7]" LOC = P81;
NET "led[6]" LOC = P82;
NET "led[5]" LOC = P83;
NET "led[4]" LOC = P84;
NET "led[3]" LOC = P85;
NET "led[2]" LOC = P87;
NET "led[1]" LOC = P88;
NET "led[0]" LOC = P92;
NET "ext_clk_25m" LOC = P55;
NET "ext_rst_n" LOC = P62;

之后进行综合、实现、产生可配置文件,下载到FPGA上调试即可。

记录使用Spartan-6进行流水灯控制的实验相关推荐

  1. 【单片机】C52单片机上用两个以上按键实现流水灯控制

    [单片机]C52单片机上用两个以上按键实现流水灯控制 一.proteus电路图设计 二.程序控制代码 三.结果测试用例 本文主要介绍在C52单片机中断中,如何使用两个以上的外部按键实现流水灯控制! 在 ...

  2. 单片机炫彩灯实训报告_单片机跑马灯(流水灯)控制实验报告

    单片机跑马灯(流水灯)控制实验报告 1单片机实验报告单片机实验报告姓名 学号一.一. 实验实现功能实验实现功能1计数器功能 2流水灯二.具体操作具体操作1计数器功能计数器功能数码管的动态显示.每按一次 ...

  3. 用CD74HC4067高速模拟开关模块实现16路流水灯控制(Arduino UNO)

    用CD74HC4067高速模拟开关模块实现16路流水灯控制(Arduino UNO) CD74HC4067接口板就像一个单刀多掷开关 -SIG引脚通过地址选择引脚(S0-S3)与CH0~CH15之一进 ...

  4. c语言8255控制流水灯程序,8255LED流水灯控制.doc

    8255LED流水灯控制 实验四 8255 LED流水灯控制实验 一.实验目的 1.认识8255接口芯片.学习其在微机系统中的应用 2.8255编程要点指南 8255是一个通用的可编程的并行接口芯片, ...

  5. FPGA 学习笔记:Verilog 实现LED流水灯控制

    前言 在初步了解 Xilinx Vivado 的使用后,开启了FPGA Hello World 程序:LED 流水灯控制 在嵌入式MCU中,流水灯需要延时来实现,FPGA的延时,使用外部晶振来实现 目 ...

  6. 51单片机流水灯控制(5种方法)

    实现流程: 1. 新建一个工程(新项目)2. 查看原理图确定需求(流水灯)对应LED引脚在单片机上的哪个引脚3. 编写程序(通过查看原理图可以看到我们需要控制整个P2口以控制8个LED灯)4. 编译程 ...

  7. 【STM32 嵌入式课程实验】实验二 流水灯控制

    实验二 流水灯控制 2.1 系统功能 使用STM32板载的八个LED灯,实现流水灯功能. 2.2系统组成 由STM32开发板及板上的LED灯组成,STM32为STM32F103RB芯片的NANO版本, ...

  8. 基于STM32F103C8T6实现流水灯的操作实验

    一.GPIO端口的初始化 1.配置时钟 1.找到时钟使能寄存器映射基地址即复位时钟控制 由于本次实验采用GPIOA.B.C三个端口,该三个端口又都属于APB2总线. 2.找到APB2总线端口偏移地址以 ...

  9. 微型计算机流水灯实验报告,广东海洋大学微型计算机基础流水灯左移右移实验报告.docx...

    实验报告 课程名称: 微型计算机基础 实验项目名称:流水灯左移右移实验 时间: 2014-11-05 班级:软件 x 班 姓名: xxx 学号: xxxxxxxx 实验一:流水灯左移右移实验 实验目的 ...

最新文章

  1. NumPy 广播的可视化
  2. H标签对网站SEO优化是不可或缺的!
  3. VTK:PolyData之TransformOrderDemo
  4. moore 数据集_警报数据集(alarm dataset)_机器学习_科研数据集
  5. 单机最大负载_分布式高可靠之负载均衡,今天看了你肯定会
  6. sql中count(0),count(1),count(),count(列名)
  7. mysql got signal 11_求助 mysqld got signal 11 解决办法
  8. 数据库原理及应用(索引为什么快,数据在磁盘上如何存储)
  9. 4代hiv检测50元_50元的乙肝两对半体检,值得吗?检测前,5种行为不要做
  10. 手机性能测试软件汇总,手机的各项性能测试
  11. 无人驾驶常用专有名词
  12. 小米iot业务_一文看懂小米2019上半年财报:IoT平台连接设备达1.96亿台
  13. 2023中国传媒大学计算机考研信息汇总
  14. Package com.google.common.collect
  15. 机器学习 竞争神经网络与自组织特征映射神经网络(SOFM)
  16. 我在达内学安卓-基于ArrayAdapter创建单一对象列表方式创建联系人列表!
  17. matlab的polyfit,polyval,lsqcurvefit拟合函数
  18. 【Mybatis】Mybatis 注解开发
  19. 【转载】根据已知点通过COORD七参数计算
  20. validform_v5.3.2使用示例

热门文章

  1. FLEX是什么及与FLASH的关系的介绍
  2. 8088微型计算机pdf,微型计算机原理与接口技术:第3章 8086-8088微处理器及其体系结构.pdf...
  3. MySQL实验作业_MySQL作业
  4. idea2019配置gradle详解_Java学习之——Gradle的安装配置、IDEA中创建Gradle的Java项目...
  5. 使用睡袋_在户外一个关乎睡眠的重要因素——睡袋
  6. 智慧屏到底是不是鸿蒙,荣耀智慧屏究竟是电视还是“新物种”?
  7. 计算机无线模块怎样关闭,无线模块插在电脑上出现感叹号如何解决?教你三招...
  8. SVN配置自启动服务碰到[SC] OpenSCManager 失败 5:解决办法
  9. 半波整流后的灯泡功率是多少?
  10. 2021年春季学期-信号与系统-第七次作业参考答案-第三小题