今天疑问xci文件,想来应该是IP文件,但还是存在怀疑,于是看到了这篇文章,转载过来:https://blog.csdn.net/Buyi_Shizi/article/details/51658407

在Xilinx ISE中不同的操作都有不同的文件类型对应,例如综合、布局、布线、生成比特流等都会产生特定格式的文件,在vivado中也是一样,只不过在vivado中,文件的格式相比于ISE中更加统一。

  • .dcp文件,在ise中每个过程都会产生特定格式的文件,例如.ncd, .pcf, .ngd等等,但是在vivado中,不论是综合还是布局布线都只会产生一种格式的文件,即.dcp文件,每个阶段的.dcp文件都是下一阶段的输入文件,.dcp文件实际上包含了对应阶段处理的信息,用vivado可以直接打开,File->Open Checkpoint.

.dcp文件主要包括Physical Constraints, Device Constraints, Netlists以及device的信息,如下图所示:

从这可以看出其实.dcp文件就是ise中的网表文件和约束文件的集合,只不过在vivado中被集合在了一个文件里。

  • .xdc文件,这个是vivado的约束文件,vivado的约束文件和ise中的约束文件.ucf或者.pcf相比有很大不同,.xdc中的约束文件其实就是一系列的tcl语句,所以对于vivado中的约束文件,可以作为一个源文件放在工程里,在综合和布局布线中调用;也可以在tcl console中输入,立即执行。.xdc文件的内容大致如下所示:

###############################################################################
# Timing Constraints
###############################################################################
#
create_clock -name sys_clk -period 10 [get_ports sys_clk_p]
#

set_false_path -to [get_pins {vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/S0}]
set_false_path -to [get_pins {vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/S1}]
#
#
create_generated_clock -name clk_125mhz_x1y0 [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/mmcm_i/CLKOUT0]
create_generated_clock -name clk_250mhz_x1y0 [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/mmcm_i/CLKOUT1]
create_generated_clock -name clk_125mhz_mux_x1y0 \ 
                        -source [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/I0] \
                        -divide_by 1 \
                        [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/O]
#
create_generated_clock -name clk_250mhz_mux_x1y0 \ 
                        -source [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/I1] \
                        -divide_by 1 -add -master_clock [get_clocks -of [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/I1]] \
                        [get_pins vc707_pcie_x8_gen2_support_i/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1/O]
#
set_clock_groups -name pcieclkmux -physically_exclusive -group clk_125mhz_mux_x1y0 -group clk_250mhz_mux_x1y0

都是一些tcl语句的集合,在tcl console中直接输入这些语句也是没有问题的。

3,.xci文件,这是定制ip产生的文件,里面包含了定制的ip核的所有信息,可以通过这个文件产生需要的ip核,作用和.dcp文件差不多。ip核中也有.dcp文件,关于选择.xci文件还是.dcp文件,在vivado中的ip定制中会总结。

4,.rpt文件,这个是每个过程结束输出的一个report文件,用来记录各个过程中的一些信息,和ise中的多种输出文件格式相比,这样的统一格式显然更好一点。

Vivado各个过程产生的文件与ISE的对比相关推荐

  1. VIVADO下载过程以及【卡在optimize disk usage】的解决办法

    VIVADO下载过程以及[卡在optimize disk usage]的解决办法 一. 前言 二.VIVADO下载步骤 三 .可能遇到的问题 一. 前言 首先说明的是vivado下载并不难,只是因为它 ...

  2. 关于SQL server 2000 在安装过程中遇到文件挂起的解决办法

    两种方法: 1.关于SQL server 2000 在安装过程中遇到文件挂起的解决办法: 在Ghost 版 windows xp 中安装 SQL server 2000 时经常会遇到安装程序运行到第二 ...

  3. Linux系统安装驱动过程中ko文件加载错误(Required key not available)的解决办法

    Linux系统安装驱动过程中ko文件加载错误(Required key not available)的解决办法 问题描述 在Ubuntu上使用CP210x USB转UART设备时需要安装驱动程序(CP ...

  4. 使用VIVADO LICENSE 加密VHDL/Verilog 文件(二)

    继上一次编写vivado加密文章之后,细读赛灵思 UG1118,进行详细学习整理如下文.如有疑问可自行阅读英文版本手册. 一.VIVADO加密的TCL命令 Tcl命令在vivado的Tcl Conso ...

  5. 点云处理过程中.stl文件转为.ply文件

    点云处理过程中文件的转换问题 .stl文件转为.ply文件 .stl文件转为.ply文件 在进行点云的处理过程中需要进行文件的转换,可以使用open3d软件包进行转换,由于.ply文件有两种储存方法, ...

  6. Python自动化运维——文件与目录差异对比

    模块:filecmp 安装:Python版本大于等于2.3默认自带 功能:实现文件.目录.遍历子目录的差异 常用方法: 1.单文件对比(cmp): 采用filecmp.cmp(f1,f2[,shall ...

  7. echo和pwd获取文件路径的区别对比

    echo和pwd获取文件路径的区别对比 echo &xxxx 直接可获取存储文件路径 pwd 也可以获取文件存储路径,但需cd 到相应的路径,在路径下,才可以通过pwd指令获取路径. 说明:二 ...

  8. linux上删除文件过慢,Linux上删除大量文件几种方式对比

    Linux上删除大量文件几种方式对比 linux上删除大量小文件删除测试:删除500000个小文件测试 生成文件: $ for i in $(seq 500000);do echo 'text' &g ...

  9. Vivado 2019.1 生成bit文件报错解决

    1 开发环境 软件版本:vivado 2019.1 FPGA版本:xilinx K7 FPGA 2 遇到问题 1)使用vivado建立工程,添加代码.添加约束.综合.布局布线,生成bit文件. 2)v ...

最新文章

  1. openssl/ssl.h file not found mac 完美解决
  2. kohana中的路由规则
  3. syslog-ng 正确配置udp接受端口
  4. 8086标志寄存器FLAG
  5. debian java7_Debian 7 和 Debian 8 用户怎样安装 Oracle Java 8
  6. IOS 单行文本输入框 UITextField 使用
  7. .net core 调用c dll_C++ 调用C封装DLL库的两种方式
  8. pandas 做柱状图 显示中文_官方调研重磅发布,Pandas或将重构?
  9. hibernate简单入门教程(四)---------关联映射
  10. 合并两个数组的两种方式的异同
  11. 从C#到Swift原来这么简单,So Easy!
  12. 十个经典java开发项目及其描述-马上写到你的简历中去吧,祝你升职加薪
  13. 渗透之——Metasploit命令及模块
  14. MySQL函数-递归函数
  15. Flash XSS 漏洞实例
  16. win10 win7 php,win10装win7双系统引导
  17. yum linux gcc安装包下载,linux下安装yum及gcc
  18. 硬盘检测工具+linux,linux硬盘检测工具:Smartmontools使用指南
  19. 基于PHP爬虫的微博热搜实时监控平台
  20. 最新亲测云赏在线视频打赏源码V8.5修复完整版

热门文章

  1. 企业信息管理平台_OAJava企业信息化系统
  2. 微型计算机三包法第30条内容,我不同意国家对电视机三包有明确规定,还是检测手段有问题。保修内容明确维护好消费者的权益。- 法律快车法律咨询...
  3. mysql 字段可以存数组吗_mysql怎么存数组
  4. python中float与eval式一样的吗_用Python最原始的函数模拟eval函数的浮点数运算功能...
  5. 2021年人工神经网络第四次作业 - 第三题Cifar10
  6. 智能车竞赛计时系统感应线圈放在节能充电线圈上输出电压会多大?
  7. 红外发送管的角度特性测试
  8. 橡皮筋模型不太对呀?!
  9. 英飞凌AI越野组入门教程
  10. 基于STM32F103双轴机械臂完整电路板设计