1 开发环境

软件版本:vivado 2019.1

FPGA版本:xilinx K7 FPGA

2 遇到问题

1)使用vivado建立工程,添加代码、添加约束、综合、布局布线,生成bit文件。

2)vivado 布局布线时工程报错,错误提示如下:

[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets ADC_top_inst/adc_group[0].ads1675_top_inst/diff_to_single_inst0/adc_user_clk] >
ADC_top_inst/adc_group[0].ads1675_top_inst/diff_to_single_inst0/IBUFDS_inst1 (IBUFDS.O) is locked to IOB_X0Y36
and ADC_top_inst/adc_group[0].ads1675_top_inst/adc_user_clk_BUFG_inst (BUFG.I) is provisionall

Vivado 2019.1 生成bit文件报错解决相关推荐

  1. (6)ISE14.7生成bit文件报错解决(FPGA不积跬步101)

    引言:丘山积卑而为高,江河合水而为大.--庄子 1 软件平台 操作系统:Windows 10 开发套件:ISE14.7 2 问题描述 FPGA开发工具ISE14.7与win10系统存在兼容问题,即使w ...

  2. Vivado生成bit文件报错彻底解决

    1.1 Vivado生成bit文件报错彻底解决 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Vivado生成bit文件报错彻底解决: 5)结束语. 1.1.2 本节引 ...

  3. 未指定的IO标准导致vivado生成bit文件报错

    1.1 未指定的IO标准导致vivado生成bit文件报错 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)未指定的IO标准导致vivado生成bit文件报错: 5)结束 ...

  4. 解决vue-pdf 打包后生成worker文件报错问题

    解决vue-pdf 打包后生成worker文件报错问题 安装 vue-pdf npm install --save vue-pdf 进入目录 node_modules/worker-loader/di ...

  5. egret protobuf生成ts文件报错问题

    使用egret protobuf生成ts文件报错问题 首先看白鹭官网提供的demo egret protobuf 按步骤安装完成后 添加一个test.proto文件,内容如下 package test ...

  6. hive导出数据到本地文件报错解决方法

    hive导出数据到本地文件报错解决方法 参考文章: (1)hive导出数据到本地文件报错解决方法 (2)https://www.cnblogs.com/yaopeiyun/p/12232251.htm ...

  7. JSON文件报错解决方法(Expected value at 1:0/Expected 'a' at 8:20)

    在构建java项目时,可能会出现.json文件报错(出现红叉叉),无论怎么调整,而且项目还能正常运行,但是这个红叉叉就是会一直存在,作为具有强迫症的我是不能忍的,下面步骤为错误描述与决解操作. jso ...

  8. 使用imp导dmp文件报错解决

    使用imp导dmp文件报错解决 报错信息如下: imp-00010:不是有效的导出文件,标头验证失败 imp-00000:未成功终止导入 原因:dmp文件与导入工具版本不一致 解决方案: 下载inst ...

  9. 在Android工程中加入AIDL文件时,gen目录生成的文件报错-问题解决

    from://http://blog.csdn.net/watt520/article/details/10099047 今天在弄清除缓存的东东,按照网上别人的方法,创建了一个AIDL文件,这个时候发 ...

最新文章

  1. 数据库学习之(6)了解数据库触发器
  2. 作业调度算法--高响应比优先 操作系统_处理器管理_编程题
  3. IOS FRAMEWORK,动态库 等几个问题
  4. OpenI部署二——转载
  5. SpringBoot2.1.9 多数据源Mybatis—JDBC配置
  6. 谷歌移动应用强调设计元素:向极简风格转型
  7. 【转】2.1 SharePoint服务器端对象模型 之 访问网站和列表数据(Part 1)
  8. 02331 数据结构 二叉树的遍历
  9. js简单判断身份证合法性以及身份证生日合法性
  10. CUDA C编程权威指南 第二章 CUDA编程模型
  11. 1.1.27 word表格里的文字不显示
  12. 解决图片三像素的问题
  13. 标准库举例:sys、copy
  14. 升级openssh漏洞
  15. 如何避免PayPal、Fb、谷歌账户被封,又如何解封?
  16. 随风摇曳的她——美蕨(matlab实现)
  17. Photoshop CS2 视频教程-PS合并图层(转)
  18. 国产电子书的代表作是什么样的?
  19. 惠普LaserJet M1005 MFP报错b2
  20. 机器学习和数据科学从业者必读的10本免费英文书

热门文章

  1. 语言木头折断放置问题_木头文化:干燥,是木成材的关键一步
  2. 算法提高 质因数2(java)
  3. mysql中起飞到达城市查询_让mysql慢慢起飞 - 初识慢日志
  4. 计算机图形学在线作业,电子科大16秋《计算机图形学》在线作业3答案
  5. 静止一秒_生命静止前的那一秒,我们并不是无能为力...
  6. mysql 常用的列类型_MySQL 常用列类型
  7. python处理json文件_python处理json文件
  8. 快手用计算机说唱的叫什么,HIPHOP人物:“我们呢说唱,会在快手上爆炸!”
  9. cookie分号后面没有值_浏览器Cookie介绍
  10. [BZOJ4591][SHOI2015]超能粒子炮·改(Lucas定理+数位DP)