如果需要重读,需要用ram,如果不需要重读的话就用FIFO 双buffer不太好实现错误重传机制!!!!

双buffer作用:

1、跨时钟域

2、完成数据位宽转换

3、完成数据缓冲 双buffer缓冲操作示意图,在操作工程中存在两个clock1 和clock2,但是输入输出的传输带宽不能相差太大,会出现数据覆盖的现象

双buffer缓冲操作示意图,在操作工程中存在两个clock1 和clock2,但是输入输出的传输带宽不能相差太大,会出现数据覆盖的现象 。

1、如上图所示,输入端读数据比写数据速度要块,这样的话,在数据写完后让外部来读,这样在写的过程中,空闲的时间,读端,可以进行数据操作等操作(100MHz * 8bit < 75MHz * 16bit)

2、写完一次数据就用选择器选择另外一个ram写,在下降沿时切换,读选择器类似。

3、快时钟域向慢时钟域传输信号时,需要将写入的片选信号data|_v延迟两拍 。

testbeach中产生的数据思路

ISE产生的ipcore,不使用ISE自带的simulation仿真,直接用modulesim仿真时出现加入的ipcore找不到相关文件,如图所示

直接到该目录下搜索,然后copy出来到你的工程文件中

源码链接:

http://download.csdn.net/detail/github_33678609/9739924

FPGA基于双端口RAM的乒乓操作相关推荐

  1. FPGA 基于双端口RAM的串口通信系统

    FPGA实验报告 文章目录 一.概述 1.目的及意义: 2.主要功能: 二.原理及步骤 1.原理框图: 2.工作原理 3.功能模块简介 4.实验步骤 三.程序设计及描述 四.仿真与综合测试 五.总结 ...

  2. 【FPGA】双端口RAM的设计(同步读写)

    之前的博文都是讲单端口RAM的,它们仅有一套控制输入,例如cs,we,oe,还有数据总线以及地址. [FPGA]单端口RAM的设计(同步读.同步写) 附上太多链接,我也累,自己找吧. 双端口RAM,顾 ...

  3. 【FPGA】双端口RAM的设计(异步读写)

    上篇写了双端口RAM设计(同步读写):https://blog.csdn.net/Reborn_Lee/article/details/90647784 关于异步读写和同步读写,在单端口RAM设计中也 ...

  4. 最详细的FPGA的双口RAM乒乓操作与数据处理实例(第一部分)

    首先说明一下 代码都是自己完全手写的,如果有人看了我的代码,欢迎指出不足,写的不好也不要嘲笑,一个字一个字写出来的. - 1.目的 测试双口RAM的乒乓操作的功能,研究RAM输入输出操作,以及乒乓操作 ...

  5. 乒乓RAM基本原理和操作介绍

    引言      乒乓操作是一个非常常用的数据流控制处理技巧,乒乓RAM源于乒乓操作的基本原理,本节将对乒乓RAM作一个简单的介绍,也作为平常学习笔记的一个记录,等忘记后可以回来复习复习. 主要内容 乒 ...

  6. 【正点原子FPGA连载】第十九章IP核之双端口RAM实验 -摘自【正点原子】新起点之FPGA开发指南_V2.1

    1)实验平台:正点原子新起点V2开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=609758951113 2)全套实验源码+手册+视频下载地址:ht ...

  7. (19)FPGA乒乓操作

    (19)FPGA乒乓操作 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA乒乓操作 5)结语 1.2 FPGA简介 FPGA(Field Programmable ...

  8. (195)FPGA编程:双端口RAM(一)

    (195)FPGA编程:双端口RAM(一) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA编程:双端口RAM(一): 5)结束语. 1.1.2 本节引言 &qu ...

  9. FPGA设计中RAM的一些基本概念

    1.FPGA中双口RAM的基本概念 在FPGA的设计中,常用的数据缓存IP有FIFO和RAM,其中RAM又分为单口RAM,伪双口RAM和双口RAM. 单口RAM与双口RAM的区别在于,单口RAM只有一 ...

最新文章

  1. 多数大数据项目都以失败而告终的原因
  2. Golang 入门系列(十) mysql数据库的使用
  3. HDU1257 最少拦截系统 贪心或动态规划
  4. 12_02_Linux软件管理之二rpm
  5. 中国人工智能学会通讯——基于视频的行为识别技术 1.7 视频的深度分段网络...
  6. 机器学习中的不平衡分类方法(part1)--绪论
  7. 搞硬件,别吹牛了,好好做个规划!
  8. 艾伟:ASP.NET跨页面传值技巧总结
  9. pythonrequest得替代_python的扩展包requests的高级用法
  10. 【extjs6学习笔记】1.9 初始: Mixins
  11. 【树莓派搭建个人网站】花生壳内网穿透
  12. Nugine: Rust 性能调优
  13. python--我的大花莽【turtle画】
  14. android 常用机型尺寸_Android中图片大小与各种hdpi
  15. Git内部原理之深入解析环境变量
  16. 芬兰政府:要找到量子计算工业化的好时机
  17. C#笔试题面试题锦集
  18. 室内定位indoor location
  19. 利用iptables的SNAT功能实现局域网共享上网
  20. 关于RTC(实时时钟)

热门文章

  1. 第十六届全国大学生智能车竞赛文化衫LOGO主图案设计
  2. 基于STM32F103双轴机械臂完整电路板设计
  3. 使用STC8G1K08制作调频接收模块TEA5767配置电路
  4. 测试tcp连接数工具_后端开发程序员不知道压力测试怎么能行
  5. vc 文本框 只显示下划线_【Axure9百例】36.文本框搜索自动匹配
  6. mfc倾斜文本输入_文本检测知识梳理(持续更新)
  7. linux大批量删除文件,Linux rm删除大批量文件
  8. windows环境下python怎么安装mlxtend-python连接QQ实现自动回复python 机器学习库
  9. 华为服务器面板显示,服务器面板怎么查看
  10. 华为数据中心服务器数量,IDC 与华为联合发布《全闪存数据中心白皮书》,目前已有多个应用...