FPGA设计中,存储器是必不可少的。FPGA中常见的存储器件有RAM以及FIFO,RAM又可细分为单端口RAM、伪双端口RAM和双端口RAM。之前对其基本概念与使用已经进行了介绍,现在只重点将他们进行比较:

目录

一、比较

二、FIFO与RAM联系:

三、应用场合:

四、参考文献:


一、比较

单端口RAM:对应IP核中的Single-Port RAM,只有一组控制信号线、地址线和数据线,不能同时读写,某时刻只能在控制信号作用下作为数据输入或输出的一种;

双端口RAM:对应IP核中的Dual-Port RAM,有两组独立的控制信号线、地址线和数据线,两组之间互不影响,允许两个独立的系统同时对其进行随机性的访问。即共享式多端口存储器,可以同时读写;

伪双端口RAM:对应IP核中的Simple Dual-Port RAM,一个端口只读,一个端口只写;

注意:双端口RAM同时对同一地址进行读写时,会出现仲裁;

FIFO:先进先出数据缓冲器,也是一个端口只读,另一个端口只写。但是FIFO与伪双口RAM的不同,FIFO为先入先出,没有地址线,不能对存储单元寻址;而伪双口RAM两个端口都有地址线,可以对存储单元寻址。

二、FIFO与RAM联系:

如FIFO实现专题所述,FIFO既可以利用寄存器实现,也可以使用RAM实现;

实际上,规模较大的FIFO一般都是用RAM实现的(规模特别小的FIFO才会使用寄存器实现)。

三、应用场合:

FIFO常用于数据传输缓存,避免数据丢失,如跨时钟域的数据传输就需要用到异步FIFO。
RAM常用于暂存指令或中间数据,指令cache和数据cache就由RAM来实现。

四、参考文献:

双口RAM存储器

FIFO、单口RAM、双口RAM的区别

单口RAM、伪双口RAM、双口RAM与FIFO的区别

Xilinx官方文档 LogiCORE IP Distributed Memory Generator v7.2

单端口RAM、伪双端口RAM、双端口RAM、以及FIFO的区别相关推荐

  1. 数字IC设计系列----单端口RAM、双端口RAM、同步FIFO、异步FIFO

    目录​​​​​​​ 一.单端口RAM原理及实现 1.1.原理 1.2.Verilog实现 1.3.优缺点分析 2.双端口RAM原理及实现 2.1.原理 2.2.Verilog实现 2.3.优缺点分析 ...

  2. FPGA 单端口RAM IP核使用 vivado仿真

    一.各类存储器简介 ROM:只读,只有读接口(读地址.读数据) RAM:可读可写,有读接口(读地址.读数据)和写接口(写使能.写数据.写地址),默认任何时刻都能读,没有读使能,大小和位宽查手册,需要持 ...

  3. 伪双口ram工作原理单口及RAM、伪双口RAM、双口RAM与FIFO的区别

    FPGA时序时序分析中的基本概念 FPGA设计中,常用到的数据缓存IP有FIFO和RAM,其中RAM又分单口RAM.伪双口RAM.双口RAM. 伪双口ram的工作原理,开始的时候以为有两个wea使能信 ...

  4. 单口RAM、伪双口RAM、真双口RAM、单口ROM、双口ROM的区别

    单口RAM与伪双口RAM.真双口RAM的区别在于: 单口RAM只有一个时钟(clka)(时钟上升沿到来时对数据进行写入或读出).一组输入输出数据线(dina&douta).一组地址线(addr ...

  5. 单口RAM、伪双口RAM、双口RAM与FIFO的区别

    单口RAM.伪双口RAM.双口RAM与FIFO的区别 FPGA设计中,常用到的数据缓存IP有FIFO和RAM,其中RAM又分单口RAM.伪双口RAM.双口RAM.        单口与双口的区别在于, ...

  6. Verilog设计实例(3)基于Verilog的单端口同步读写RAM设计

    文章目录 写在前面 正文 电路设计 行为仿真 交个朋友 写在前面 为什么要写单端口同步读写RAM呢? 没有那么多为什么?就是因为简单.基础,能清晰说明单端口RAM的原理,顺手给出设计,也能说明你的设计 ...

  7. 【FPGA】单端口RAM的设计(异步读、异步写)

    前面有博文写了同步读写和异步读.同步写的单端口RAM设计: [FPGA]单端口RAM的设计(同步读.同步写) [FPGA]单端口RAM的设计(异步读.同步写) 这篇博文讲异步读写: 在博文:[FPGA ...

  8. 【FPGA】单端口RAM的设计(异步读、同步写)

    上篇博文讲到了:单端口同步读写RAM的设计,那里对RAM的读写采用的是同步的方式,也就是和时钟同步,读写都依赖于时钟. 这篇博文,我们的写依然是同步的,但是读是异步的,所谓的异步就是指不依赖于时钟,这 ...

  9. 【FPGA】单端口RAM的设计(同步读、同步写)

    Single Port RAM  Synchronous Read/Write 这篇博文介绍单端口同步读写RAM,在之前的博文中,也介绍过类似的设计:[Verilog HDL 训练]第 13 天(存储 ...

最新文章

  1. 飞信2015服务器未响应,即时通信天下已定 飞信再难复活
  2. 打开指定摄像头_我在无人区深处,遇到了一个高清摄像头
  3. 通过border来实现各种三角符号
  4. GitHub 发布 2018 年开源项目趋势预测
  5. Invoke and BeginInvoke BeginInvoke和EndInvoke方法 (转)2
  6. ajax 前端报错,Django ajax 发送post请求 前端报错解决
  7. 淘宝MySQL十大经典案例pdf
  8. 用python的进行压缩文件
  9. ajax请求成功后打开新开窗口(window.open())被拦截的解决方法
  10. saltstack 模型与认证通信原理
  11. 外包开发app系统软件价格表:价格一般多少呢
  12. python内置函数什么意思_python内置函数是什么
  13. 寒假刷刷算法题(13)
  14. Phyton Flask框架学习记录。
  15. 文本分类模型中的“蒸”功夫
  16. C++2.0 shared_ptr和weak_ptr深入刨析
  17. 上一步,下一步(撤销和恢复)
  18. 华为手机提示 系统发现xxx删除照片提示 如何不提示,且不保存在最近删除列表
  19. 俄罗斯钓鱼四服务器未响应,俄罗斯钓鱼4《RussianFishing4》sbirolino钓组攻略
  20. Android版火狐无法同步,Firefox 同步 故障解决

热门文章

  1. 《我国生物医学工程现状与发展政策》——选修课论文
  2. Java Swing版单机版三国杀
  3. 在线平台制作App要如何实现后台管理系统
  4. Redis缓存双写一致性、穿透(布隆)、雪崩、击穿的案例总结
  5. svn没有绿色图标解决方案
  6. 一文掌握GSEA通路富集分析,超详细教程!
  7. CVT-SLR: Contrastive Visual-Textual Transformation for Sign Language Recognition with Variational Al
  8. 邮箱的的smtp邮件发送服务
  9. ​东南沿海-《乡村振兴战略下传统村落文化旅游设计》五一书香辉少许
  10. 全球调查揭示企业内部变革的驱动力:高度颠覆和数字化!