单口RAM与伪双口RAM、真双口RAM的区别在于:

单口RAM只有一个时钟(clka)(时钟上升沿到来时对数据进行写入或读出)、一组输入输出数据线(dina&douta)、一组地址线(addra)、一个使能端(ena)(ena==1时可以进行读或写的操作,ena == 0时无法进行读或写的操作)、一个写使能端(wea)(在ena == 1的情况下,wea == 1时只写不读,wea == 0时,只读不写)。单口RAM,读写无法同时进行,只能或读或写。

伪双口RAM有两个时钟(clka&clkb)、一组输入输出数据线(dina&doutb)、两组地址线(addra&addrb)、两个使能端(ena&enb),一个写使能(wea),一个端口只读(porta),另一个端口只写(portb)。整体上,读、写可以同时进行。

真双口RAM有两个时钟(clka&clkb)、两组输入输出数据线(dina&douta&dinb&doutb)、两组地址线(addra&addrb)、两个使能端(ena&enb)、两个写使能端(wea&web)。两个端口都可以进行读写操作(porta和portb可以一起读或者一起写或者一个读一个写)。整体上读写可以同时进行。

单口ROM和双口ROM的区别

单口ROM只有一个时钟(clka)、一组输出数据线(douta)、一组地址线(addra),一个使能端(ena)。只能进行读操作,且一个时钟只能读出某个地址上的一组数据。

双口ROM有两个时钟(clka&clkb)、两组数据数据线(douta&doutb)、两组地址线(addra&addrb)、两个使能端口(ena&enb)。也是只能进行读操作,且每个端口中,一个时钟只能读出某个地址上的一组数据,其实和单端口ROM没什么区别,其实可以当成是两个单口ROM拼接而成,只是存储的数据是共享的。

单口RAM、伪双口RAM、真双口RAM、单口ROM、双口ROM的区别相关推荐

  1. 数字 IC 技能拓展(24)单口、伪双口、真双口 RAM、单口、双口 ROM 的区别与联系

    正文         打开 IP Catalog,搜索 Block Memory Generator,即可看到其 Memory Type 可分为 5 中,分别是单口 RAM(Single Port R ...

  2. 双系统 Win10下安装Linux(单/双硬盘)

    双系统 Win10下安装Linux(单/双硬盘) 单硬盘 Centos Ubuntu 准备工作 正式安装Ubuntu系统 踩坑 双硬盘 首先非常感谢博客作者们分享的双系统安装教程,其中一些博客对笔者双 ...

  3. 实拍验证 双色温闪光灯真能校正白平衡?

    本文摘自中关村作者   2015-02-11 05:24:00   [  中关村在线 原创  ]     作者: 常亮 订阅 |   责编:王亚南   http://mobile.zol.com.cn ...

  4. zookeeper的单实例和伪集群部署

    原文链接: http://gudaoyufu.com/?p=1395 zookeeper工作方式 ZooKeeper 是一个开源的分布式协调服务,由雅虎创建,是 Google Chubby 的开源实现 ...

  5. 双偶幻方的c语言算法,单偶阶、双偶阶幻方的巧妙填法

    单偶阶.双偶阶幻方的巧妙填法 单偶阶.双偶阶幻方的巧妙填法 人气:150 ℃时间:2019-12-07 19:18:09 优质解答 一.双偶幻方的解法 能被4整除的n阶幻方叫双偶幻方,如8阶.12阶. ...

  6. 一台双u的服务器和一台单u的服务器性能能高一半吗,单机柜供电能力提升后,选择1U还是2U?...

    [IT168 评论]标准机架服务器通常以U为高度单位,由于19英寸的宽度和800mm左右的纵深基本固定,高度在很大程度上决定了服务器的内部空间和部件扩展能力.所以不同U的服务器一般对应不同的应用场景, ...

  7. css3伪类、表单控件伪类、文本相关伪类、afater清浮动、not选择器、毗邻元素、文字缩略

    0804 css3伪类 target 突出显示活动的 HTML 锚 div:target{background: #0e3757;} 表单控件伪类 <style>input:enabled ...

  8. RAM和ROM和Flash ROM的区别

    RAM(Random Access Memory)的全名为随机存取记忆体,它相当于PC机上的移动存储,用来存储和保存数据的.它在任何时候都可以读写,RAM通常是作为操作系统或其他正在运行程序的临时存储 ...

  9. CSP-J第二轮真题 分类题单

    CSP-J第二轮真题 分类题单 每个题单都是一个洛谷竞赛,需要先点报名,输入页面上的邀请码,即可进入.竞赛开放时间到11月初. 字符串与模拟 数制 数学相关 高精度 排序与二分 搜索 数据结构 贪心与 ...

  10. 计算机组成芯片,ram芯片-计算机组成与结构中8k4的RAM芯片什么意思?

    意思是这种RAM芯片包含8k个存储单元,每个存储单元为4位宽. 好好学习天天向上 RAM芯片组和RAM芯片一样吗 楼主,我看你问题挂了几天了,首先我不是专业人士,我以我所知道的回答你的问题,感觉压力太 ...

最新文章

  1. 湘潭计算机职业技术学校专业介绍,湘潭计算机职业技术学校介绍
  2. 数据挖掘之3种数据归一化方法及代码实现
  3. android 活动外的类,Android – 活动外的startActivityForResult?
  4. 小明分享|WiFi协议迭代历程
  5. 国内linux内核镜像仓库,国内较快的maven仓库镜像
  6. 雷军牵手李嘉诚;董明珠被“排挤”;余额宝限购终结| CSDN极客头条
  7. LVS-DR,real-server为windows 2008的配置
  8. Grep 用法和正则表达式(一)
  9. L2TP 配置实例——CALL LNS
  10. 《Unity虚拟现实开发实战》——第1章,第1.1节虚拟现实对你来说意味着什么
  11. MTK改串工具,mtk刷机工具,高通刷机工具,MTK生成线刷包工具资料下载
  12. 转:量化投资新手指南-初识量化交易
  13. 给宝贝女儿雅琪写的顺口溜
  14. 如何将自己开发的网站部署到小鸟云服务器上?
  15. [渝粤教育] 四川工程职业技术学院 零件几何量检测 参考 资料
  16. 直播源码部署直播软件系统搭建!
  17. 前台HTML现在预览附件
  18. 基于Atmel128A单片机的MP31.0设计
  19. Android 直播中弹幕、带货和键盘的交互
  20. 多个containers 共用一个pvc_长兴PVC废料回收一斤多少钱,ps废料回收

热门文章

  1. 轻松搞定python系列
  2. sumo构建栅格城市并仿真
  3. ffnn_GA-FFNN:基于签名的IDS的智能分类方法
  4. Django + markdown 前台使用markdown编辑器深入浅出详解
  5. MySQL日志系列(1):MySQL各种日志
  6. linux音频自动转码,使用SoundConverter在Linux系统下批量音频转码的方法
  7. 郑少秋赵雅芝的八卦魅影(转载)
  8. QQ,Tim:Initialization failure:0x0000000C;360安全卫士:winsock初始化失败,另一种解决方法
  9. AndroidX和Support库使用记录
  10. 洛谷 1119 灾后重建 Floyd