以下为使用modelsim软件进行波形仿真时出现的错误。

Modelsim is exiting with code 7.
Check the transcript file for more information on the fatal error.This dialog will automatically dose in 10 seconds.

Mentor公司的ModelSim是优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

在modelsim波形仿真中将仿真时间调大到100us,就出现了上述的错误。由于在之前的波形仿真中并未出现这种错误强制退出,感觉不是破解软件的问题。终于经过网上的长时间搜索寻找,好像找到了最接近真相的就是电脑用户名是中文。于是开始了我修改用户名的操作。

重点:!!!

注意这里的中文名不是单纯的开机界面的用户名,这里指的是用户文件夹下是中文名;

特别注意修用户文件名有风险,可能导致电脑一直启、进不了系统的情况或之前电脑安装的软件无法正常使用。在网上找的教程大家谨慎修改,模仿需谨慎。

需要提供修改用户名的方法,可以问,亲测可用,不影响其它软件使用且modelsim也能正常仿真了。

很后悔当初用中文名,看到这里的小伙伴赶快提醒周围的朋友们吧!

不要中文名!不要中文名!不要中文名!

Modelsim软件仿真出错:Modelsim is exiting with code 7.相关推荐

  1. modelsim软件仿真出现现蓝色波形,数字为zzzzzzz开头

    使用modelsim软件仿真出现输出波形是zzzzzzz0或zzzzzzz1 出现上述的原因是out数据类型不对,默认定义成了高阻态,出现上述原因,在quartus软件里面也有报错的提示 根据报错提示 ...

  2. 国产安路FPGA(二)-TD软件仿真(Modelsim)

    使用ModelSim进行国产FPGA的功能型仿真 一.设计概述 本次仅进行FPGA部分的功能仿真,ModelSim的版本为ModelSim SE-64 10.5 工程描述:有符号数据的乘法运算,使用T ...

  3. 超详细 quartus 新建工程 及 quartus 和 modelsim 联合仿真 以及 modelsim 的简易教程

    文章目录 一.新建工程 1. 新建工程 2. 添加源文件以及ip核并编译 添加代码文件 添加IP核 编译 3. 自动生成testbench文件并添加路径到工程中 生成testbench文件 添加tes ...

  4. Quartus与ModelSim联合仿真启动ModelSim失败(已解决)

    今天学习使用Quartus启动ModelSim对rtl文件进行仿真,RTL simulation报错,无法启动ModelSim: 看了很多博客,可以在ModelSim的directory结尾加\,但我 ...

  5. verilog学习笔记- 4)Modelsim 软件的安装、使用

    目录 Modelsim 的安装: 安装: Modelsim 的使用: 手动仿真: 建立 TestBench 仿真文件: 编译仿真文件: 配置仿真环境: 自动仿真: 选择 EDA 仿真工具: 编写 Te ...

  6. 基于modelsim软件进行仿真简易CPU指令的实现

    文章目录 基于modelsim软件进行仿真简易CPU指令的实现 一. 任务.要求.目的 二. 指令实现原理 2.1 Verilog HDL基础 2.2 MIPS架构简介 2.2.1 指令基础 2.2. ...

  7. Matlab和Modelsim联合仿真的配置

    软件版本: Matlab R2012a x64 Modelsim SE-64 10.2c 安装好以上版本的软件后,不用做其他设置,直接进行下面的步骤.如果matlab使用到S-function函数的话 ...

  8. Vivado关联Modelsim进行仿真

    Vivado自带的仿真工具Vivado Simulator使用体验不佳,使用当前最流行的Verilog仿真工具Modelsim更加高效便捷.Vivado支持多种第三方仿真工具,包括Modelsim,Q ...

  9. 关于Vivado和Modelsim联合仿真的爱恨情仇

    关于Vivado和Modelsim联合仿真的爱恨情仇 心路历程 版本对应 仿真设置 错误解决 关于使用modelsim查看状态转移图 心路历程 vivado自带仿真工具虽然可以使用,但是每一次修改代码 ...

最新文章

  1. 2022-2028年中国汽车铝合金冲压件行业市场运营模式及未来发展潜力报告
  2. vue中子组件和子组件之间怎么通信_vue.js组件之间如何通信?
  3. iPhone浏览器性能测试
  4. 跨国企业频繁并购,SAP咨询行业的非常利好
  5. js正则--验证6-12位至少包含数字、小写字母和大些字母中至少两种字符,
  6. 聚类算法:Hierarchical Clustering层次聚类
  7. python数据库编程dbf_python读写dbf文件
  8. Android 4.4 packageinstaller之权限获取及展现
  9. Spring cloud整合zookeeper
  10. Ubuntu20.04安裝QQ for Linux
  11. 基于SPI的数据报过滤原理与实现
  12. ***病毒的隐藏方式
  13. Sql语句之select 5种查询
  14. android+listview设置行高,android – 无法在行xml中设置listView行高的高度
  15. ubuntu mysql5.6_ubuntu安装mysql5.6
  16. 优化Windows电脑常见方法,提高速度,释放硬盘C盘
  17. python机器学习彩票_Python机器学习及实战kaggle从零到竞赛PDF电子版分享
  18. 2022 年“泰迪杯”数据分析技能赛——竞赛作品的自动评判(Python代码实现)
  19. steam 加速器_如何在Steam中使用Switch的Pro控制器
  20. sql升级重启计算机失败win10,win10系统安装sQL server提示重新启动计算机失败的解决技巧...

热门文章

  1. python体能达标成绩_Python+Excel数据分析实战:军事体能考核成绩评定(九)评定个人等级...
  2. JSP中连接SQL 2000数据库的问题总结
  3. 安卓 sdk 离线包_百度离线ocr识别开发sdk包
  4. 数据库与MPP数仓(十四):招标采购系统的数据仓库构建
  5. m基于matlab的超宽带MIMO雷达对目标的检测仿真,考虑时间反转
  6. Unity:看不到Flash未来 终止支持Flash
  7. 一文看懂未来加密行业如何应对监管?
  8. java jsp聊天系统_java web实现简单聊天室
  9. 为什么越来越多的人想成为全栈工程师?
  10. Android系统根目录下的各个文件夹的作用与区别介绍