文末下载完整资料

   本文主要介绍了采用直接数字频率合成DDS芯片实现正弦信号输出,并完成调频,调幅功能。它采用美国模拟器件公司(AD公司)的芯片AD9851,并用AT89C51单片机对其控制,首先从DDS芯片的输出,经低通滤波得到正弦信号,然后对该信号进行调频,调幅。其中调频部分可以通过在软件中修改DDS芯片的频率控制字,相位控制字等来实现,而调幅部分需在DDS输出正弦信号之后外加一调幅器实现。调幅部分将DDS输出作为载波信号,RC振荡器提供1KHz振荡作为调幅信号,它利用了乘法器MC1496完成对正弦信号调制。该系统输出稳定度、精度极高,适用于当代的尖端的通信系统和精密的高精度仪器。
   本文首先介绍了直接数字合成的原理,然后提出了系统总体设计方案,还有系统硬件电路和软件编写设计等,其中如采用的AD9851芯片和调幅模块电路设计作了详细介绍。
关键词:直接数字频率合成(DDS);AD9851;调频;调幅
1直接数字频率合成(DDS)原理及性能综述
1.1 DDS原理
   直接数字频率合成是近年来发展非常迅速的一种新型频率合成技术,其基本思想是基于正弦查找表。根据正弦函数的产生原理,直接对输入参考时钟进行抽样,数字化,从相位出发,用不同的相位给出不同的电压幅度,最后经滤波平滑输出所需的频率信号。DDS主要由参考频率源、相位累加器、正弦ROM表、D/A转换器(Digital Analog Converter,简称DAC)和低通滤波器(LPF)等组成,其中相位累加器与正弦ROM查找表合称数控振荡器(Numeric Controlled Oscillator,简称NCO),它是DDS的核心。DDS的结构原理图如图1.1所示,参考频率源是一个高稳定的晶体振荡器,其输出信号作为DDS合成频率的基准频率,同时保证DDS中各部件同步工作,来自单片机系统的频率控制字K控制相位累加器的累加次数,从而改变输出频率 的高低及其相位大小。

   相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲,加法器将频率控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS输出的信号频率。 用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。 DDS在相对带宽、频率转换时间、高分辨力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。
下面我们讨论DDS输出频率 的推导:
    一个频谱纯净的单频信号可表示为:

   只要幅度U和初始相位 不随时间变化,是常数,它的频谱就是位于 的一条谱线。这里为说明问题方便起见,我们令U=1, =0,即

   这种单频信号的主要特性是它的相位是时间的线形函数,即

   相位函数对时间的倒数就常数

   这就是信号的频率。由式(1-3),(1-4)可知,相位函数是一条直线,它的斜率就是信号的频率。
如果对式(1.2)信号进行采样,采样周期为 (即采样频率 ),则可得到离散的波形序列

   相应的离散相位序列

式中

是连续两次采样之间的相位增量。
   若采样值在采样间隔内进行保持,则可得到阶梯状的相位和信号波形。根据采样定理,只要

   从式(1.5)的离散序列即可唯一地恢复出式(1.2)的模拟信号。保持的作用则是可使得所需模拟信号的分量加大,且将采样形成的高次谐波分量受到很大的抑制。因此,为合成(1.2)的模拟信号,可先生成与其相对应的阶梯信号,再经滤波而得到。
   从式(1.3)已经知道,是相位函数的斜率决定了信号的频率,从式(1.5)和(1.6)可见,决定相位函数斜率的则是两次连续采样之间的相位增量 。因此,只要控制这个相位增量即可控制合成信号的频率。
    综上所述,为合成所需频率的模拟信号,必须解决以下一些技术问题:
(1) 需控制每次采样的相位增量,并输出模 的累加相位。这可以用相位累加器来完成;
(2) 将模 的累加相位变换成相应的正弦函数值的幅度,这里幅度可先用代码表示,这可以用一只读存储器ROM来存储一个正弦函数表的幅值代码;
(3) 将幅度代码变换成模拟电压,这可由数模变换器DAC来完成;
(4) 相位累加器输出的累加相位在量词采样的间隔时间内是保持的,因而最终从DAC输出的电压也是经保持的阶梯波,需经低通滤波器之后才能得到所需的模拟电压输出。因此,就有了如图1.1所示的DDS基本原理框图。
   DDS的工作实质是以参考频率源(用作一个稳定时钟)对相位进行等可控间隔的采样。其工作过程为:
(1) 以输入数字信号K确定一个频率值;
(2) 该频率值以数字信号累加至相位累加器以生成实时数字相位信息;
(3) 数字相位“字”转换成正弦表中相应的数字幅度值:“字”;
(4) DAC将数字幅度值转换成模拟幅度值;
(5) DDS产生的混叠于干扰由抗混叠滤波器处理后输出。
2 课题总体方案设计及论证
2.1 设计任务及初步规划设计
   本课题是利用高性能DDS芯片设计频率范围在0~10 MHz,并能够实现调频、调幅的信号源。要求其频率稳定度小于等于10-6
   在对本课题总体规划设计过程中,主要可分成以下几块:
   (1) 控制电路的设计,其主要功能是完成对DDS芯片的控制,包括频率控制字,相位控制字等的数据输入信号以及频率更新和字输入时钟端等的控制信号。这些控制信号可以由PC机,单片机,可编程逻辑器件PLD,或者常规的数字逻辑电路来产生。PLD是由用户在工作现场进行编程的逻辑器件,在产品研制的未定型阶段,这种方式比较灵活,常规的数字逻辑电路最简单,价格最便宜,最容易上手,但不够灵活。而单片机具有体积小,可控性高,控制功能强,使用方便,性价比较高等诸多优点,我准备采用常用的控制电路的芯片AT8951单片机来完成控制部分的功能。
   (2) 参考时钟电路设计。参考频率源可选用普通晶体振荡器,温补晶体振荡器或恒温控制晶体震荡器等。其中恒温控制晶体震荡器的性能指标最好,但体积最大,价格也最贵,而普通晶体振荡器虽价格便宜,但其频率稳定度通常较低,所以在工程实际中,一般采用温补晶体振荡器作为DDS的参考时钟输入比较合适。
   (3) 系统电源设计。系统电源可由直流稳压稳流电源提供,为了安全起见,在电源的后面接上稳压块,由稳压块稳压到电路所需的电压值。直流电源的纹波越小,对提高DDS的性能就越有好处。
   (4) 正弦信号发生电路。本课题首先要用DDS芯片产生一频率稳定且可调的正弦信号,因此正弦信号性能的好坏直接决定了该设计是否符合技术指标要求,还有进一步的调幅性能的好坏。鉴于2中对DDS芯片AD9851的介绍,用该芯片便可在理论上具有可行性。
   (5) 波形的整定电路设计。实际设计与实现上,由于输入DDS芯片的参考时钟脉冲效果不理想,DDS相位截断误差,幅度量化误差和DAC的非线形,输出信号存在不同程度的相位噪声和杂散信号,因此在设计中,在DDS输出的输出信号之后加一低通滤波器,滤除不必要的噪声干扰。
   (6) 调幅电路设计。调幅是本设计一个很重要的环节,用前面正弦信号输出作为调幅载波,利用RC振荡器产生一定频率的正弦信号作为调幅信号,利用乘法器将调幅信号调制到载波频率上。
2.2 方案提出及系统整体设计框图
2.2.1 系统各部分设计方案
   (1).常见信号源制作方法
   方案 一 :采用模拟分立元件或单片压控函数发生器MAX038,可产生正弦波,方波,三角波,通过调整外部元件可改变输出频率,但采用模拟器件由于分散性太大,即使使用单片函数发生器,参数也揶揄外部元件有关,因而产生的频率稳定度较差,精度不高,抗干扰能力较低成本较高。
   方案 二 :采用锁相式频率合成方案,锁相式频率合成是将一个高稳定度和高精度的标准频率经过加减乘除的运算产生同样稳定度和精确度的 大量离散频率的技术,它在一定程度上解决了既要频率稳定精确,又要频率在较大范围内可变的矛盾,但频率受VCO可变频率范围响,高低频率比不可能作得很高。
   方案 三:采用DDS,即直接数字频率合成,其原理方框图如图3.1所示:

(2) 调频电路
   方案 一 :D/A控制
   此方案预先测试和计算好产生固定频率所需的控制电压,为方便控制将它量化存于ROM之中,在需要时利用单片机控制D/A转换即可完成,此方案设计的是一个开环的系统,他的稳定性不好,且频率步进无法做得很小。
   方案 二 :压控振荡器
   压控振荡器的输出频率是随着输入电压的改变而改变的,鉴于此,如果用调制信号来控制压控振荡器的输入电压,即可实现调频。这样显然简单而容易控制,且精度较高。
   方案三:通过软件实现
   还可以完全通过改变DDS的频率控制字的方法来实现频率可调。这种方法不需要硬件电路,只是通过软件设计及键盘预设一定频率,由单片机来控制改变DDS频率控制字参数,即可实现调频。因此考虑采用本方案。
   (3) 调幅电路
   方案 一 采用分立器件实现,但其电路制作繁复且性能不甚理想。
   方案 二 用模拟乘法器MC1496实现调制信号对载波信号的幅度调制,由于输出正弦波频率非常高,根据以往的经验,从1K到1MHZ 得出的波形是很好,但从1MHZ至10MHZ时由于输出幅度不够,波形明显失真。
   (4) 显示模块
   方案一 采用普通LED 显示,其优点是操作方便,但显示信息及功能少,且耗电量大。
   方案二 采用液晶(LCD)显示,界面形象清晰,内容丰富,可显示复杂字符,易于和单片机接口,且耗电少。故选用该方案。
2.2.2 系统整体设计框图
   本系统通过单片机控制AD9851频率控制字实现频率合成,经低通滤波器滤除噪声和杂散信号就可得到比较纯正的正弦信号。同时,调制正弦波信号通过单片机AD采样后,并行输入改变DDS芯片频率控制字就可实现调频,基本不需要外围电路,且最大频偏可由软件任意改变。得到效果比较好的正弦波信号以后,再通过乘法器设计的一个调幅器完成对信号的调幅操作。整个系统的整体设计框图如下图2.2所示:

3 硬件电路设计
3.1 直接数字频率合成模块
   这里我们采用的是AD公司的DDS系列芯片之一的AD9851,其优异的功能,尤其是其先进的CMOS工艺,使其得到广泛的应用。下面就介绍AD9851的原理及性能。
3.1.1 AD9851内部结构
   AD9851芯片是AD公司生产的最高时钟频率为180MHz,采用先进的CMOS技术的高集成度直接数字式频率合成器件。它由一个高速DDS,一个高性能DAC以及比较器等构成一个完全数字控制可编程频率合成器,其时钟输入端内置一个6倍频器,并且具有始终产生共嫩能够。AD9851的原理框图如图3.1所示:


3.3 调幅模块设计
3.3.1 MC1496内部结构
    振幅调制部分主要采用模拟乘法器集成芯片来实现。高频电子线路中的振幅调制,同相检波,混频,倍频,鉴频,鉴相等调制与解调的过程,都可以视为两个信号相乘或者包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立期间简单得多,而且性能优越。所以目前在无线通信,广播电视等方面应用较多。集成模拟乘法器的常见产品有MC1495/1496,LM1595/15966等。新产品有超高频模拟乘法器AD834(其带宽BW=500MHz~1GHz),AD835,超高精度模拟乘法器AD734(其带宽BW=40MHz,精度为0.1%),其中后面三种也都是美国AD公司的产品。本系统用比较普通的MC1496来实现调幅功能,它是MOTOROLA公司生产的,是通信专用IC,也是业余无线电收发讯机常用IC。下面介绍一下MC1496芯片。
   MC1496是双平衡四象限模拟乘法器,其内部电路如图3.4所示:

全套资料免费下载:
关注v-x-公-众-号:【嵌入式基地
后-台-回-复:【毕业设计】 即可获资料
回复【编程】即可获取
包括有:C、C++、C#、JAVA、Python、JavaScript、PHP、数据库、微信小程序、人工智能、嵌入式、Linux、Unix、QT、物联网、算法导论、大数据等资料

基于DDS的信号源设计相关推荐

  1. A D 20:基于S T M 32的DDS信号源设计

    直接数字频率合成(DDS):根据正弦函数的产生原理,直接对输入参考时钟进行抽样.数字化,从相位出发,用不同的相位给出不同的电压幅度,最后经滤波平滑输出所需的频率信号.DDS主要由参考频率源.相位累加器 ...

  2. 基于FPGA的通信信号源设计

    verilog实现基于FPGA的通信信号源设计 要求: 能够发射正弦波 对发出的正弦波进行2ask,2fsk,2psk,2dpsk调制 调制时用到PN序列(伪随机序列) 步骤: 第一步:产生两个频率不 ...

  3. stm32超声波扫频_基于STM32的脉冲式及扫频式超声波除垢信号源设计

    基于 STM32 的脉冲式及扫频式超声波除垢信号源设计 李连通 , 张伟光 *, 李金博 [摘 要] [摘 要] 介绍了一种基于 STM32 主控芯片产生两种不同信号源的实 现 ] 脉冲信号源可以产生 ...

  4. 行波iq调制器_矢量信号源中IQ调制器的设计与实现

    龙源期刊网 http://www.qikan.com.cn 矢量信号源中 IQ 调制器的设计与实现 作者:饶金玲 聂伟 来源:<现代电子技术> 2014 年第 21 期 摘 要: 实现了一 ...

  5. verilog设计简易正弦波信号发生器_电子设计竞赛教程-信号源类

    文末获取更多资料 1. 实用信号源的设计和制作[2] (第2届,1995年) (1)设计任务 在给定±15V电源电压条件下,设计并制作一个正弦波和脉冲波信号源. (2)设计要求 ①基本要求 第1部分: ...

  6. 常用雷达信号的matlab仿真_常用的雷达信号:基于DDS的线性调频信号的产生

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  7. 基于北斗导航定位系统的设计与实现(论文+程序设计源码+数据库文件)

    摘 要 改革开放新时代的到来,人们的生活发生了翻天覆地的变化,人们的娱乐方式变得更加丰富多彩,人们的活动圈子在不断的扩大,不仅仅局限于眼前的苟且,更向往着诗与远方,人们的活动圈子的扩大就意味着在特殊时 ...

  8. matlab声呐模拟,基于DDS技术的声纳信号模拟器的基本原理

    (文章来源:电子工程网) 本文旨在研制适用于某种高分辨率成像声信号模拟器.该成像声纳接收声基阵采用48元等间隔线阵,工作频率800kHz,作用距离0.5-25米,角度分辨率为0.35°.成像声纳对接收 ...

  9. 【GPS仿真】基于matlab GPS信号捕获跟踪【含Matlab源码 2126期】

    ⛄一.获取代码方式 获取代码方式1: 完整代码已上传我的资源:[GPS仿真]基于matlab GPS信号捕获跟踪[含Matlab源码 2126期] 点击上面蓝色字体,直接付费下载,即可. 获取代码方式 ...

  10. 【信号处理】基于Matlab GUI数字均衡器设计,源码附上

    [信号处理]基于Matlab GUI数字均衡器设计,源码附上 数字均衡器是一种常用于音频信号处理的技术,可以有效地补偿声音中的频率失真,提高音质.在这篇文章中,我们将介绍如何使用Matlab GUI进 ...

最新文章

  1. 083 HBase的完全分布式的搭建与部署,以及多master
  2. jni加载第三方so_Linux的so文件到底是干嘛的?浅析Linux的动态链接库
  3. 你绝对想不到R文件找不到(cannot resolve symbol R)的原因
  4. JmsTemplate sendAndReceive 设置超时
  5. linux cna12.dll,攻击 MySQL 服务器传播 GandCrab 勒索软件
  6. 二元函数求最小值 c语言,遗传算法C语言源代码(一元函数和二元函数)
  7. 工厂 调用Unity Application Block 通过XML配置文件映射读取数据层方法
  8. nginx ---- nginx服务器版本升级和新增模块
  9. 微信小程序四种父子相互传值方式
  10. Mysql——应用学习之旅
  11. Hadoop完全分布式 小bug -no.1 为啥我的集群只显示一个datanode!
  12. Xshell使用教程(不断总结...)
  13. 树形加法器(Brent-Kung加法器)
  14. SnowNLP包情感分析
  15. win10下WAMP Server安装
  16. word文档怎么删除多余的空白页?
  17. Tableau 中国教育水平发展指标历史数据(十)大学男女比例
  18. Java 音频提升音量工具(附代码) | Java工具类
  19. Java购买火车票(初识并发)
  20. 小学计算机室信息化实施方案,牛镇镇中心学校教育信息化建设实施方案

热门文章

  1. Lua AES加解密
  2. 【学习笔记】用Excel制作随机抽奖器(Visual Basic编程)。
  3. Java 递归实现斐波那契数列
  4. ebay详情html制作,eBay产品详情页分析:详情页怎么做?
  5. 反编译android sdk,反编译apk,修改sdk文件,重新签名
  6. 十天学会php之第八天
  7. DEDE 织梦 CMS 文章采集不到
  8. 《深入浅出WPF》-刘铁猛学习笔记——XAML
  9. 如何服务器备份到移动硬盘,数据安全第一!威联通如何外接硬盘备份和同步
  10. Windebug 专题