tips:本文章不涉及fifo的ip核参数含义讲解,只是通一通开发流程,总结一下哪里容易报错,新手向,旨在能够正确出波形,别卡在报错中折磨。

在新建工程前,新建文件夹管理文件
打开quartus,新建工程,路径设置到quartus_prj目录下,工程名和顶层名均为fifo

一路next到选型号,按照板卡型号进行选择。Family->package->pin count---->core speed grade->available devise->next
simulation选择modelsim verilog,next

层级管理器有这个就是建好了

调出ip核内容,如图所示

搜索fifo,双击进入配置

这里要设置一个路径,这个路径最好按照自己的习惯固定下来,在quartus_prj文件夹下新建文件夹ip_core,在ip_core文件夹下再新建一个文件夹,这个文件夹的名字与ip核的文件名保持一致,此处用scfifo_256x8(这个不是乘号,是癌克斯).,语言选择verilog。设置好后如下图所示,ok
弹出这个窗口就是进入ip核配置了

具体参数怎么配置请参考野火的教程,我直接全部默认设置直到这个界面,把默认的那个bb文件的对勾给取消了,就勾上倒数第二个,finish


第一次操作会有弹窗,问你要不要把ip核添加进工程,点是就行,还可以勾选以后自动添加,自行决定即可。我是自动添加,所以点完finish之后,把层级管理器切换到file,能看到这个.qip就是ip核已经添加进工程了

然后开始实例化调用这个IP核,在stl文件夹下新建个fifo.v并编写它

此处一个注意:第一张图框住的模块名称要与scfifo_256x8_inst.v文件(第二张图)中的模块名(第三张图)一致



fifo.v写完后保存,添加进工程,在层级管理器为file时,双击file就可以添加文件
添加成功时层级管理器显示这样,有一个fifo.v

全编译,发现没错误,可以写仿真Test bench文件
在sim文件夹下,新建一个tb_fifo.v并编写它,顶层模块名也是tb_fifo,和testbench文件名保持一致。

保存,添加进工程

添加成功后层级管理器的file长这样:

然后进行仿真设置,进assignment的settings,找到simulation

在这里添加testbench

这里因为我们之前保持了testbench文件名和文件里的顶层模块名一致,这里直接输入tb_fifo

把tb_fifo和fifo两个.v文件都添加进来,ok,ok,apply,ok

tool进去仿真

这里没出错,之前因为不懂,两个.v文件也都是cv野火的,所以modelsim这里总是报load failed,错误的流程有无数,反正现在这个流程是正确的

modelsim里常用的几个钮已经框选出来了(别丢了左下角的那个)

完事

Quartus联合modelsim的ip核仿真——以FIFO为例——(报错问题解决)相关推荐

  1. FPGA开发技巧备忘录——大量相同类型IP核仿真时tcl简化写法

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA开发技巧备忘录--大量相同类型IP核仿真时tcl简化写法 前言 通配符* 前言 之前在<modelsim独立仿真quar ...

  2. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  3. ISE中FIFO IP核的Standard FIFO和First-word-Fall-Through模式的仿真比较

    ISE下的FIFO IP核有Standard FIFO和First-word-Fall-Through两种模式,相对于标准模式FWFT(First-word-Fall-Through)可以不需要读命令 ...

  4. Modelsim 仿真 DDR2 IP核 测试 和自定义仿真平台搭建 IP核仿真

    说明:本文分为两部分,第一部分仿真QuartusII自己生成的DDR2 example, 第二部分为添加自己写的tesetbench文件仿真DDR2 关键词:DDR2仿真.Modelsim 软件:Qu ...

  5. QUARTUS联合modelsim仿真(quartus13.0)

    设置仿真软件(Modelsim/ Modelsim-Altera)路径 (1)点击tools ->Options (2)选择EDA Tool Options PS:看自己情况设置,使用独立Mod ...

  6. Quartus II 18.0 创建 dcfifo IP 核仿真出现问题 Port 'eccstatus' not found 记录和解决方案

    Quartus II 版本如下图所示:18.00 Build 614 04/24/2018 SJ Standard Edition 在工程中例化了一个异步 FIFO 配置信息如下: 仿真时 Model ...

  7. 在quartus中使用FFT IP核最全教程(从入门到放弃)

    一.准备工作 首先需要把需要的器材准备好,我使用的是quartus18.0,并且要使用IP核被破解的版本,不然无法使用其中的FFT和NCO,一定要注意,quartus对于版本非常敏感,一定要严格对应好 ...

  8. 安路IP核仿真:testbench中加入glbl

    项目场景&问题描述: 对TD的FIFO IP核进行仿真时,参照了这位大佬的 把TD的仿真库导入modelsim的文章 但是 无论怎么输入信号(图中的FIFOrd_en.FIFOwd_en.sy ...

  9. Quartus ii 中ROM ip核的应用

    ROM: read only memory: 掉电不丢失数据 RAM可以被配置为ROM 实验内容: 将一组固定数据(三角波)存储在FPGA中使用IP核构建的片上ROM中,开发板上电后,系统开始从ROM ...

最新文章

  1. 多服务器session共享之memcache共享安装和实现篇(apache+php-windows)
  2. python语言是谁发明的咋读-Python简史
  3. 解决ubuntu修改密码 Module is unknow报错
  4. mysql 允许其他主机访问权限_允许其他主机访问本机MySQL
  5. python程序设计题答案_《Python程序设计》习题与答案
  6. 高效程序猿之(三)VS2010快捷键(转)
  7. 内存还剩余很多,却使用 swap 分区
  8. java mysql mac 安装_最新版MySQL在MacOS上的安装与使用!
  9. 数据结构与算法之-----总览
  10. 一些常见的js问题总结
  11. 2021-10-11 CTF-KX(第一场)-RSA10
  12. matlab——imhist和histeq函数
  13. 程序员的黑砖窑,东南亚博彩骗局详解
  14. 不可预料的压缩文件末端怎么解决
  15. [M1]Daily Scum 10.9
  16. c语言求佩尔方程的解,佩尔方程
  17. ESP32 的 I2C 原理 应用入门
  18. 美元霸权·《看懂世界格局的第一本书·2》
  19. 百度文字识别(OCR)服务-傻瓜式
  20. AI人脸检测和人脸识别是一个概念吗?有什么区别?

热门文章

  1. SAP 标准成本、计划成本、目标成本、实际成本计算公式
  2. python之父考虑重构python解释器_Python之父考虑重构Python解释器
  3. UML工具Visual Paradigm最新版本16.2发布
  4. 【STM32】数码管显示按键控制舵机转动的角度(二)
  5. 什么?你还不知道offsetX、offsetY和clientX、clientY和pageX、pageY和screenX、screenY的区别,进来唠唠
  6. 血仍未冷——写在37岁生日
  7. input和textarea中字体样式不同的解决方法
  8. 记:解决 Weditor 页面元素坐标定位不到、不准确的问题
  9. 尝试寻找免费思维导图软件的工作小结
  10. 两个非常不错的在线pdf转epub的网站,没有上传大小限制