BIST

Created: January 20, 2022 1:53 PM

参考文章如下:

BIST介绍

可能是DFT最全面的介绍–BIST

基础名词扫盲篇

葵花宝典:DFT问答第二篇

scan_chain 测试基本原理

一文看懂scan测试的基本原理和过程-面包板社区

Question & answer

  • MBIST Memory 内建自测试:

    A:MBIST是指在电路中加入针对Memory的自测试电路。在测试模式下,它会接管功能逻辑对memory的控制,依据特定算法,对memory进行读写测试操作,判断Memory是否有制造缺陷。至于面临的挑战,从以下几个方面来探讨:

    • 对于Memory Vendor来说, 在新工艺下,memory可能会有新的失效机制,如何设计有效的MBIST 算法是一项重要的研究课题。
    • 从EDA角度看,目前业界工具对常规memory (SRAM, ROM, Register File等)的支持已相对成熟。芯片公司对EDA 提供针对特殊类型的memory (如TCAM, DRAM )的测试方案的需求正日渐迫切。
    • 从芯片实现的角度看,设计者需要考虑如何使得MBIST逻辑对芯片PPA的影响最小。对于使用带Redundacy Memory的design来说,要考虑自修复(self-repair),也会增加设计和验证的难度。
  • Boundary Scan:

    A:Boundary scan 顾名思义,是附加在芯片I/O 周边的扫描测试链,它通过专门的测试端口(TAP)访问。在测试模式下,边界扫描链会接管功能逻辑,对I/O进行灵活访问。边界扫描链的结构,测试端口,以及其控制器(TAP Controller),被IEEE定为标准协议(IEEE 1149), 也称做JTAG. 边界扫描链最早应用于印刷电路板上芯片间的互联测试, 后来也广泛应用于生产测试中对芯片管脚的测试。

  • Logic Bist:

    A:LBIST (Logic Built-In Self Test)是针对逻辑电路的自测试。测试激励由片上 PRPG (Pseudo-randompattern generation) 来产生。输出响应通过 MISR(Multiple Input Signature Register)来压缩,最后对得到的特征值进行比对。LBIST 多应用于对可靠性要求较高的芯片(如汽车电子,工业级应用)的系统自检测试。LBIST产生的激励是随机的,所以天生的缺陷是测试覆盖率不充分,通过在设计中增加测试点(Test Point Insertion)可以在一定程度上得到改善。

  • 为什么bist测试只能跑网表测试?

    因为DFT加SCAN 扫描都是在网表加,不会加在rtl级别

  • dft中要求所有的寄存器都是scan寄存器吗?

    基本都要求是,除了寄存器输出直连寄存器输入。或者一些func 表明no_dft

  • ac和dc的区别?

    dc: stuck at的测试 用的ATE的clk,比较慢

    ac: trasition check strat up的clk较慢 capture较快 模拟真实芯片工作频率

BIST(build_in selftest)介绍相关推荐

  1. 芯片测试术语 ,片内测试(BIST),ATE测试

    芯片测试分为如下几类: 1. WAT:Wafer AcceptanceTest,wafer level 的管芯或结构测试: 2. CP:chip probing,wafer level 的电路测试含功 ...

  2. DFT设计与验证介绍——第1节:DFT简介

    文章为"参考文档"的总结,不是原创! 1  文档介绍 1.1  文档目的 介绍DFT设计原理和验证方法,重点介绍IP BIST及MBIST两种设计及验证. 1.2  参考文档 1. ...

  3. 芯片测试术语,片内测试(BIST),ATE测试

    芯片测试分为如下几类: 1. WAT:Wafer AcceptanceTest,wafer level 的管芯或结构测试: 2. CP:chip probing,wafer level 的电路测试含功 ...

  4. coreboot学习3:启动流程跟踪之bootblock阶段

    coreboot的第一个启动阶段为bootblock.该阶段均使用汇编语言编写.下面根据执行文件顺序介绍. 一.reset16.inc bootlbock的最开始执行的文件为src\cpu\x86\1 ...

  5. JTAG and Boundary Scan(zz)

    [转] IEEE Standard 1149.1--Test Access Port and Boundary-Scan Architecture zz: http://blog.sina.com.c ...

  6. 2.1 基本术语(基本概念)

    最后更新2021/07/06 在本节,作者一方面想给读者解释IBM PowerVM的一些基本概念,另一方面要统一双方对一些基本概念的界定和理解.IBM PowerVM几乎所有的组成部件都是IBM独立设 ...

  7. UEFI启动流程浅析

    BIOS启动流程 SEC(Security Phase,安全阶段)阶段 SEC阶段是平台初始话的第一个阶段,计算机系统加电后首先进入这个阶段. CPU上电之后,首先会进行硬件初始化(hard rese ...

  8. MT7621_基础篇(1) 芯片资料了解 一

    项目开发需要使用MT7621,负责驱动移植,适配公司板卡.网上相关资料多为涉及openwrt的,不太符合我们裁剪要求,故记录此流程,进行深入学习研究.首先先对芯片资源做一个了解.后续计划包括uboot ...

  9. 汽车嵌入式开发常用缩略词

    这里收集了一些汽车行业常用的缩略词,适用于汽车嵌入式开发[Autosar/Bsw等]. 不定期更新,欢迎大家提意见,补充,以及错误指正. 缩略词 全称 备注 ACC Adaptive Cruise C ...

最新文章

  1. 使用Keras/TensorFlow模型构建属于你的Chatbot API
  2. java - 线程1打印1-10,当线程打印到5后,线程2打印“hello”,然后线程1继续打印...
  3. 1003 我要通过!(20)(20 分)
  4. 嵌入式常见笔试题总结(5)
  5. 径向基神经网络_谷歌开源Neural Tangents:5行代码打造无限宽神经网络模型,帮助“打开ML黑匣子”...
  6. Linux下安装配置 Jdk1.6+Tomcat5.5
  7. Myeclipse----Hibernate环境搭建
  8. 猫盘群晖外部网络访问的三种方法:公网IP、内网穿透、qc的实际评测
  9. HBase 过滤器使用
  10. 郭天祥的10天学会51单片机_第二节
  11. 解决IDEA SSM项目sql文件打开提示No data sources are configured to run this SQL and provide advanced code ass的问题
  12. 424. Longest Repeating Character Replacement
  13. 微信公众号注册时提示该主体注册数量已超过上限怎么办?
  14. Leetcode#183. Customers Who Never Order
  15. 目标跟踪常用的视频库
  16. docker 搭建frp内网穿透以及frp详细使用
  17. 利用高德地图通过给定坐标点画带箭头方向的路径
  18. TPS和QPS的区别
  19. linux网卡驱动离线安装_Linux下网卡驱动的安装
  20. 知识点滴 - 无线电频谱划分

热门文章

  1. 拆卸计算机主机的详细注意事项,台式电脑(拆卸/安装)CPU最全图文教程
  2. 计算机二级C语言中isdigit,C使用带字符串的标准算法,带有isdigit的count_if,函数转换...
  3. Android 资源汇总
  4. 关于如何获得虚拟机还是真机信息
  5. zookeeper集群搭建报错日志:Cannot open channel to 3 at election address ecs-db04/192.168.0.4:3888
  6. echarts.min.js从官网的下载步骤
  7. 对*p++与*++p;(*p)++ 与 ++(*p)的理解
  8. 最重要的财富——信用:守信重于生命
  9. error C2039: “SetDefaultDllDirectories”: 不是“`global namespace’”的成员
  10. Macbook Pro睡眠后频繁唤醒