通过PWM调整灯亮度

学习经验

第一次去看STC15数据手册的CCP/PCA/PWM这一章时人都懵了,这到底是个啥玩意?首先是不懂为什么要叫这个名字,CCP/PCA/PWM是一个名字还是三个名字?后来不断百度,查了很多文章,知道了CCP是英文单词Capture(捕获),Compare(比较),PWM(脉宽调制)的缩写,三个分别是不同的功能,只不过都是通过同一个引脚输出或输入;而PCA是指可编程计数器阵列(Programmable counter array),也是英文缩写,内部有一个16位的计数器;PWM就是脉冲宽度调制了,就设置不同占空比控制LED亮度的那个PWM;

所以CCP/PCA/PWM就是几个功能组合在一起的名称,相当于一个模块的名称,是STC15比STC89单片机多出来的外设功能,只不过被集成到单片机内部里去了;

就比如说之前实现PWM调节直流电机的转速,是通过定时器计时,让一个变量a不断加1,然后在中断函数中与另一个变量b进行比较,如果变量b比变量a大,就输出高电平,如果变量b比变量a小,就输出低电平,这样就输出了一定频率的波形,就是PWM,而这次使用的STC15单片机,已经把PWM用电路实现了,不用再软件编程,只需要给里面的寄存器赋初值,单片机就会自动做比较并输出高低电平,而这个实现PWM输出的电路(或者说外设)就叫CCP/PCA/PWM;CCP/PCA和PCA意思是相同的,所以有时候直接简称这个外设为PCA

然后这个外设又分为了3个模块,每个模块对应的引脚如下图所示,每个模块都能工作在4种模式,而每个模块都有自己的配置寄存器

后面就是想让哪一个模块工作,就配置该模块的寄存器,4种工作模式也是通过配置寄存器不同的参数进行选择的,多看几遍数据手册,慢慢就懂了

STC15型号单片机的CCP/PCA/PWM介绍(需要配合着官方数据手册查看)

PCA (全称是Programmable Counter Array**)可编程计数器阵列STC单片机内部集成的外设**,很多场合是以CCP/PCA放在一起来描述,那么这里的CCP又代表什么意思呢?CCP是Capture(捕获)、Compare(比较)、PWM(脉宽调制)的简称,从这个简称中我们可以得知每一路CCP/PCA都可通过配置CCP/PCA相关寄存器使其工作在4种工作模式:上升/下降沿捕获软件定时器高速脉冲输出可调脉冲输出(PWM)

注:STC不同型号的单片机拥有的CCP/PCA资源不同,有的单片机有2路CCP/PCA,有的单片机有4路CCP/PCA,在使用时请注意查看。CCP/PCA和PCA意思是相同的,所以有时候直接简称这个外设为PCA

STC8A8K64S4A12系列单片机集成了4路可编程计数器阵列PCA0、PCA1、PCA2和PCA3,该PCA模块包含了一个16位的定时/计数器,供4路相互独立的PCA使用。STC8A8K64S4A12系列单片机每一路PCA都有4个IO引脚供选择使用

注:独立GPIO表示开发板没有其他的电路使用这个GPIO,非独立GPIO说明开发板有其他电路用到了该GPIO。针对非独立GPIO使用时需特别注意。

PCA大致工作原理

PCA是一个具有捕获功能的16位定时器,高八位TH0,低八位TL0,CCON寄存器控制着定时器的计数与中断请求。

CR=1表示开始计数,CCON最低位加上CMOD的最低位可以控制产生中断。中断的向量是interrupt 7,进和中断时,必须首先将sbit CCF0 = CCON^0; //PCA 模块0 中断标志, 由硬件置位, 必须由软件清0。软件清0,再进行其它他操作

对于PCA计数阵列的计数频率则由CMOD的低三位控制,可以用此来控制计数频率,如以FOSC或分频计数均可。

每个PCA阵列均有两个模式控制寄存器,CCAPM0或1,它控制着PCA进行何种操作,如捕获,上升沿捕获,高速输出 ,PWM输出,可以直接通过设置来设置PCA的需要完成的功能。

它有两个捕获模块,CCAP0H和CCAP0L:

当为PWM输出时,一般为8位PWM模式,PWM实现方法,因为是8位,CL基础计数器从0xFF递减到0x00,溢出后将CCAP0H的值加载到CCAP0L,若CL递减过程中,若大于CCAP0L,则输出高电平,否则为低电平。

当作为16位软件定时器时,那么就存放定时值,当计数器的值与CCAP0H和CCAP0L中的值相等时,产生表示计数时间到,可以产生中断去执行其它操作。

当作为捕获功能时,外部引脚,为STC60S2时,外部引脚为P1.3和P1.4,当外部引脚输入上升沿或下降沿触发时,将CL和CH的值捕获到CCAP0H和CCAP0L,完成捕获功能。

更详细的功能说明就只能看数据手册了

与CCP/PWM/PCA应用有关的特殊功能寄存器

比如本次实验要用到的是模块0的PWM功能,所以就可以设置如下图红框内的寄存器即可,模块1就配置CCAPM1等带有1的寄存器,模块2类似,每个寄存器有什么作用就查看数据手册

寄存器分类

公共:CCON、CMOD、CL、CH、AUXR1/P_SW1

模块0:CCAPM0、CCAP0L、CCAP0H、PCA_PWM0

模块1:CCAPM1、CCAP1L、CCAP1H、PCA_PWM1

模块2:CCAPM2、CCAP2L、CCAP2H、PCA_PWM2

模块0的PWM功能寄存器配置程序

#define CCP_S1  BIT5 //0010 0000
#define CCP_S0  BIT4    //0001 0000#define EPC0H   BIT1 //0000 0010
#define EPC0L   BIT0    //0000 0001
/*
* @name   PWM_Init
* @brief  PWM初始化
* @param  None
* @retval None
*/
static void PWM_Init()
{//选择管脚,因为开发板的PWM灯接到了P3.5口//CCP_S1 = BIT5 = 0010 0000      ~CCP_S1 = 1101 1111//把PCA功能切换到P3.5/CCP02口所在的管脚AUXR1 &= (~CCP_S1);  //第5位清零AUXR1 |= CCP_S0;   //第4位置1//标志位清零以及设置PCA的时钟源,禁止CCON中CF位的中断CCON = 0x00; //CF、CR、CCF0位清零CMOD = 0x0C;    //0000 1100  设置PCA时钟源  -> SYSclk/6;PWM频率 = SYSclk/6/256 = 7.2kHz//PCA的16位计数器,低8位的CL和高8位的CHCL = 0;     //复位PCA计数器CH = 0;//ECOM0位置1,允许比较器功能开启,PWM0位置1,允许CCP0脚用作脉宽调节输出CCAPM0   = 0x42; //允许比较器功能,设置PWM模式//EBS0_1和EBS0_0都为0,设置PCA模块0工作8位PWM功能,EPC0H和EPC0L都为0PCA_PWM0 = 0x00; //PCA工作于8位PWM模式//设置系统上电默认亮度PCA_PWM0 &= (~EPC0H); //0000 0010 -》 1111 1101    EPC0H设为0,EPC0L设为1CCAP0H = 204;  //CCAP0H = (1-想要设置的占空比)*255PCA_PWM0 &= (~EPC0L);  //0000 0001 -》  1111 1110   EPC0H设为1,EPC0L设为0CCAP0L = CCAP0H;CR = 1;       //开启PCA计数器,很重要,记得开启
}

寄存器的配置顺序可以跟这个例子不同,最好按照上面那个寄存器大表格来配置,不容易遗漏,最后都要记得将CR位置1,开启PAC计数器功能

PWM占空比计算

占空比是指一个周期内高电平的持续时间,因为是CL与{EEC0L,CCAP0L[7:0]}进行比较,所以控制占空比大小就是设置{EEC0L,CCAP0L[7:0]}的值

公式:(255-{EEC0L,CCAP0L[7:0]}) / 255 *100% = 要设置的占空比

因为{EPC0H,CCAP0L[7:0]}的值是要装载到{EEC0L,CCAP0L[7:0]}中的,所以设置{EEC0L,CCAP0L[7:0]}的值也就是设置{EPC0H,CCAP0L[7:0]}的值

关于EPC0H与CCAP0H,EPC0L与CCAP0L组成9位数的问题

工作原理:

9位比较器比较的是9位数,{0,CL[7:0]}与{EPC0L,CCAP0L[7:0]}进行比较,CL由00H自增到FFH溢出时,{EPC0H,CCAP0H[7:0]}的内容装载到{EPC0L, CCAP0L[7:0]}中。

1.设置占空比为0%的情况

因为CL是会一直从00H到FFH的,即0 ~ 255,所以想让占空比为0,即一个周期内高电平的时间为0,也可理解为没出现过高电平,所以让{EPC0L,CCAP0L[7:0]}的值大于255即可

又因为CL计数溢出后,{EPC0H,CCAP0H[7:0]}的值会装载到{EPC0L, CCAP0L[7:0]}中,在程序中可以只初始化{EPC0H,CCAP0H[7:0]}的值,溢出时自动赋值给{EPC0L, CCAP0L[7:0]},也可给{EPC0L, CCAP0L[7:0]}初始化,该初始值只会与CL做一遍比较,待CL溢出后就被覆盖了,所以初始化时还是以{EPC0H,CCAP0H[7:0]}的值为准,只不过比较时是{0,CL[7:0]}与{EPC0L,CCAP0L[7:0]}进行比较而已

当EPC0H为1时:{EPC0H,CCAP0H[7:0]}的取值范围是256 ~ 511,EPC0H和CCAP0H[7:0]分别装载到EPC0L和CCAP0L[7:0]后,EPC0L = 1,CCAP0L[7:0] = CCAP0H[7:0] ,取值范围也是256 ~ 511,所以再与CL进行比较,无论怎么样都大于CL,始终输出低电平

如果一处操作让EPC0H为1了,而在后续的操作中又没有把该位清0,将会导致后面无论怎么修改CCAP0H[7:0]的值都没用,改变不了占空比,PWM口只输出低电平

//因为EPC0H和CCAP0H要一起装载到EPC0L和CCAP0L中,当EPC0H初始化为1后,重装一次,EPC0H的值赋给了EPC0L,则EPC0L和CCAP0L组合起来的9位数,最高位都是1,如果后续不对EPC0L清零的话,则无论CCAP0L取任何值,都是会大于CL(0~255)的,所以会一直输出低电平,PWM灯不会亮
PCA_PWM0 |= (BIT1);
CCAP0H = 0xFF;
CCAP0L = 0xFF; //不亮 1 1111 1111
CCAP0L = 0x00; //不亮 1 0000 0000

当EPC0H为0时:{EPC0H,CCAP0H[7:0]}的取值范围是0 ~ 255,装载到{EPC0L, CCAP0L[7:0]}后,取值范围也是0 ~ 255,因为(0,CL[7:0]) >= {EPC0L, CCAP0L[7:0]}时,都会输出高电平,{EPC0L, CCAP0L[7:0]}最多去到255,CL最多也是去到255,所以两者存在相等的情况,就会有高电平输出,虽然周期很小,但在快速执行多个周期时,高电平加起来的时间就比较多了,所以PWM灯会微微亮,但没有灭

除了让占空比为0的情况外,EPC0H在装载到EPC0L前都要为0,让{EPC0L, CCAP0L[7:0]}的取值范围在0 ~255之间,这样才能更改占空比,比如用按键控制不同的占空比,达到用PWM调节LED灯亮度的效果;每次装载前,EPC0H都要清0

//通过按键改变Temp_Value的值,根据Temp_Value设置的值修改占空比
PCA_PWM0 &= ~(BIT1); //~0000 0010  ->  1111 1101    即让EPC0H为0   EPC0H = 0,EPC0L = 1
CCAP0H = Temp_Value;
PCA_PWM0 &= ~(BIT0); //因为上一步将EPC0L位置1了,所以也让该位清0后,CCAP0H再赋值给CCAP0L,EPC0H = 0,EPC0L = 1
CCAP0L = CCAP0H;

程序

文件结构

main.c -> 主函数文件,包含 main 函数等;

Public.c -> 公共函数文件,包含 Delay 延时函数等;

Sys_init -> 系统初始化函数,包含 GPIO 初始化函数等;

LED.c -> LED 外设函数,包含 LED 打开、关闭函数等;

Timer0.c -> 定时器函数,包含定时器初始化,中断函数等;

KEY1.c -> 按键 1 函数,包含按键检测,中断函数等;

KEY2.c -> 按键 2 函数,包含按键状态机检测函数等;

PWM.c -> PWM 初始化与亮度调节函数等;

public.h:

公共头文件中定义8个位,方便各函数调用

//定义枚举类型 -> BIT位
typedef enum
{BIT0 = (uint8_t)(0x01<<0),BIT1 = (uint8_t)(0x01<<1),BIT2 = (uint8_t)(0x01<<2),BIT3 = (uint8_t)(0x01<<3),BIT4 = (uint8_t)(0x01<<4),BIT5 = (uint8_t)(0x01<<5),BIT6 = (uint8_t)(0x01<<6),BIT7 = (uint8_t)(0x01<<7),
}BIT_t;

PWM.h:

定义枚举类型和结构体类型

#ifndef __PWM_H_
#define __PWM_H_//定义表示占空比的枚举类型
typedef enum
{Duty_0      = (uint8_t)0,Duty_20     = (uint8_t)20,Duty_40     = (uint8_t)40,Duty_60     = (uint8_t)60,Duty_80     = (uint8_t)80,Duty_100    = (uint8_t)100
}PWM_Value_t;//定义结构体类型
typedef struct
{PWM_Value_t Duty;void (*PWM_Init)();void (*PWM_LED_Adjust_Brightness)();
}PWM_t;/* extern variables-----------------------------------------------------------*/
extern PWM_t PWM;
/* extern function prototypes-------------------------------------------------*/ #endif
/********************************************************End Of File
********************************************************/

PWM.c:

通过按键2实现调整PWM灯亮度的主要逻辑函数

/* Includes ------------------------------------------------------------------*/
#include <main.h>/* Private define-------------------------------------------------------------*/
#define CCP_S1  BIT5
#define CCP_S0  BIT4   #define EPC0H   BIT1
#define EPC0L   BIT0
/* Private variables----------------------------------------------------------*/
static void PWM_Init();
static void PWM_LED_Adjust_Brightness();
/* Public variables-----------------------------------------------------------*/
PWM_t PWM = {Duty_20,PWM_Init,PWM_LED_Adjust_Brightness};
/* Private function prototypes------------------------------------------------*//*
* @name   PWM_Init
* @brief  PWM初始化
* @param  None
* @retval None
*/
static void PWM_Init()
{//选择管脚,因为开发板的PWM灯接到了P3.5口//将BIT5的CCP_S1清0,BIT4的CCP_S0置1,即可将CCP切换到P3.5管脚AUXR1 &= ~(CCP_S1); AUXR1 |= (CCP_S0);//CCON里都是一些标志位,全置0即可/*CMOD 的BIT7置0,设置空闲模式下PAC计数器继续工作;BIT3、BIT2、BIT1置为110,系统时钟6分频,SYSclk/6*/CCON = 0x00;CMOD = 0x0C;//用于保存PCA装载值的16位计数器都清零CL = 0;CH = 0;//BIT6置1,允许比较器功能;BIT1置1,允许CCP0脚用作脉宽调节输出//PCA_PWM0的BIT7和BIT6置0,使模块工作于8位PWM模式,BIT1和BIT0的EPC0H和EPC0L清0CCAPM0 = 0x42;PCA_PWM0 = 0x00;//设置默认亮度,占空比20%//CCAP0H初值计算公式:CCAP0H = (1-要设置的占空比)*256PCA_PWM0 &= ~(EPC0H);   //EPC0H = 0,EPC0L = 1CCAP0H = 204;//将CCAP0H的值装载到CCAP0L中PCA_PWM0 &= ~(EPC0L);   //EPC0H = 1,EPC0L = 0CCAP0L = CCAP0H;CR = 1;
}/*
* @name   PWM_LED_Adjust_Brightness
* @brief  PWM灯调整亮度
* @param  None
* @retval None
*/
static void PWM_LED_Adjust_Brightness()
{uint8_t  Temp_Value = 0;if(KEY2.KEY_Flag == TRUE){//单击 亮度 0-20-40-60-80-100-0 循环调节//双击 亮度 100//长按 亮度 0if(KEY2.Click == TRUE){/*初始化时PWM.Duty的值为Duty_20,单击按下后,进入该switch语句PWM.Duty 被修改为Duty_40,占空比变量Temp_Value被赋值153,然后跳出switch语句,后面对CCAP0H赋值,输出占空比下次再单击按键,进入switch,匹配case Duty_40,所以PWM.Duty会再次被改变为Duty_60,占空比输出102即60%*/switch (PWM.Duty){case Duty_0:    PWM.Duty = Duty_20;   Temp_Value = 204;break;case Duty_20:   PWM.Duty = Duty_40;   Temp_Value = 153;break;case Duty_40:   PWM.Duty = Duty_60;   Temp_Value = 102;break;case Duty_60:   PWM.Duty = Duty_80;   Temp_Value = 51;break;case Duty_80:   PWM.Duty = Duty_100;  break;case Duty_100:  PWM.Duty = Duty_0;    break;default: PWM.Duty = Duty_0; break;}//亮度调节//占空比为0%,全输出低电平,PWM灯灭if(PWM.Duty == 0){PCA_PWM0 |= (EPC0H);CCAP0H = 0xFF;PCA_PWM0 |= (EPC0L);        //置1则表示9位数,加上CCAP0L最大去到511CCAP0L = CCAP0H;}//占空比为100%,全输出高电平,PWM灯全亮else if(PWM.Duty == 100){PCA_PWM0 &= ~(EPC0H);CCAP0H = 0x00;PCA_PWM0 &= ~(EPC0L);CCAP0L = 0x00;}else{//根据Temp_Value设置的值修改占空比PCA_PWM0 &= ~(EPC0H);   //~0000 0010  ->  1111 1101  即让EPC0H为0CCAP0H = Temp_Value;PCA_PWM0 &= ~(EPC0L);    //因为上一步将BIT0,即EPC0L位置1了,所以也让该位清0后,CCAP0H再赋值给CCAP0LCCAP0L = CCAP0H;}}//检测双击else if(KEY2.Double_Click == TRUE){PCA_PWM0 &= ~(EPC0H);CCAP0H = 0x00;PCA_PWM0 &= ~(EPC0L);CCAP0L = 0x00;}//检测长按else if(KEY2.Press == TRUE){PCA_PWM0 |= (EPC0H);CCAP0H = 0xFF;PCA_PWM0 |= (EPC0L);CCAP0L = 0xFF;}//标志位清零KEY2.KEY_Flag     = FALSE;KEY2.Click        = FALSE;KEY2.Double_Click = FALSE;KEY2.Press        = FALSE;}
}/********************************************************End Of File
********************************************************/

Sys_Init.c:

调用PWM的初始化函数

/*
* @name   Sys_Init
* @brief  系统初始化
* @param  None
* @retval None
*/
static void Sys_Init()
{Public.Delay_ms(10);Hradware.GPIO_Init();Hradware.Power_ON_indication();Timer0.Timer0_Init();Hradware.IE_Init();PWM.PWM_Init();
}

main.c:

主函数中先进行PWM的初始化,然后不断轮询按键检测状态机和PWM调光函数

/*
* @name   main
* @brief  主函数
* @param  void
* @retval int
*/
int main(void)
{   //系统初始化Hradware.Sys_Init();//系统主循环while(1){//按键检测//KEY1.KEY_Detect();KEY2.KEY_Detect();PWM.PWM_LED_Adjust_Brightness();}
}

STC15单片机-通过PWM调整灯亮度相关推荐

  1. PT4115使用STM32单片机引脚PWM调光

    PT4115使用STM32单片机引脚PWM调光 与上位机使用modbus与上位机使用modbus通信,能够实现0~100%亮度调节,现存问题:上电时LED灯亮一下,可能怀疑是PWM初始化太慢,直接将引 ...

  2. 【Proteus仿真】51单片机+直流电机PWM调速实验

    [Proteus仿真]51单片机+直流电机PWM调速实验 Proteus仿真 采用L293驱动直流电机 -PWM是采用定时器中断实现 /******************************** ...

  3. 基于8086单片机的PWM调光(带汇编)

    之前网上并没有详细的基于8086的PWM调光设计,做完课程设计,给大家分享一下使用DAC8032提供电压的设计思路. 1. 总体设计 在这次设计中,使用了PWM脉宽调制输出方式,即通过对一系列脉冲的宽 ...

  4. 基于8086单片机的PWM调光(8255带汇编)

    之前网上并没有详细的基于8086的PWM调光设计,做完课程设计,给大家分享一下使用8255实现四个等级调光的设计思路. 1.总体设计 通过8255查询ADC0808的EOC口判断A/D转化是否完成,转 ...

  5. 基于51单片机直流电机PWM调速设计

    具体实现功能 系统由STC89C52单片机.LCD1602液晶显示屏.霍尔测速传感器.3V直流电机.按键模块构成. 具体功能: 1.采用霍尔传感器非接触式测电机转速: 2.LCD1602液晶显示当前的 ...

  6. PIC16 F887 单片机 直流电机PWM调速 PID调速 PID算法

    直流电机PWM调速:使用将直流电机转速转换为脉冲频率,测量出电机的转速,与写入到单片机的EEPROM里的脉冲设定值进行比较产生偏差,若偏差为正值则电机减速,偏差为负值则加速,并在LCD上显示电机的转速 ...

  7. 【蓝桥杯单片机】PWM(LED亮度调节)

    实验开发板为CT107D蓝桥官方板,编译环境为MDK5 按键实现不同亮度的灯光 #include<STC15F2K60S2.h>sbit L1 = P0^0; sbit S4 = P3^3 ...

  8. 51单片机使用PWM调速

    PWM说白了就是首先定义一个变量X并且给它赋值为你想要的高电平数量,接着再定义一个变量Y赋值为0,然后再给定时器弄个1ms的定时,每过1msY就加一,假设我要定的PWM是5/20,那么首先给X赋值为5 ...

  9. stc15系列c语言pwm编程,分享一下stc15单片机的PWM波程序

    #include  "include.h" /*------------------pwm功能初始化-------------------*/ void PWM_GO(void) ...

最新文章

  1. 获取序列全排列Java,java中全排列的生成算法汇总
  2. 30张图 讲述真实的人性
  3. Flask中的请求上下文和应用上下文
  4. ux.form.field.Verify 验证码控件
  5. 詹金斯搭建_与詹金斯一起连续交付Heroku
  6. 收藏 | 来自微软大神的机器学习秘籍!
  7. 逐行创建、读取并写入txt(matlab) 生成文件夹里文件名的.bat文件
  8. exchange 2010申请分配证书服务提示:证书无效,不可用于exchange server
  9. 【报告分享】抖音-2019年下半年短视频平台营销通案.pdf
  10. python爬股票历史价格_【Python】利用ricequant获取上证指数以及所有股票历史价格数据...
  11. 用计算机怎么计算字节,计算机硬盘容量大小怎么计算
  12. 蓝桥杯练习——摔手机测试次数
  13. SRAM、PSRAM、SPI FLASH
  14. 思科路由器连接电脑配置
  15. barcode--php生成条形码
  16. 期货反向对冲-要成为长期赚钱的1%,你得学会反着干
  17. layui表头宽度和表格一致_layui表格如何把表头固定
  18. 这个是可以将得到速查编码的存储过程 如 中国人 可以得到 ZGR
  19. 武林秘籍之设计模式迷你手册
  20. html课堂笔记2.24

热门文章

  1. 计算机配置动态硬盘,如何把硬盘的类型从动态变成基本?
  2. uniqid()说明
  3. linux越狱时手机怎么进入dfu,苹果进入DFU的几种方法
  4. 服务器密码修改后数据库无法登录,关于 mysql5 改密码后不能登录问题的解答-数据库专栏,MySQL...
  5. 华为机试:导师请吃火锅
  6. 用户输入行数和列数,之后在控制台打印出用户输入行数和列数的星星
  7. Shell 学习(七)-----杂项
  8. 今天思考一个问题 --- 自己的强项是什么??
  9. iOS_TUTK_多台设备同时连线卡UI问题
  10. ldap+samba