老铁,还在为如何获取Vivado License而扎心?无论此刻你是一个需要安装Xilinx Vivado工具链的入门菜鸟,还是已有license过期的Vivado老铁,今儿咱就借着这篇文章,把学习「Vivado如何获取License」这档子事儿给说通透咯~ 手把手教程,分三部分讲述。码字不易,若是喜欢这篇文章,记得转给身边有需要的朋友们哦!

● Part 1:如何注册Xilinx官网账号与License申请
● Part 2:手把手教你安装Vivado HLx Webpack版本(含免费License)
● Part 3:License过期该怎么办

1、如何注册Xilinx官网账号与License申请

进入XILINX官网(建议使用英文链接 www.xilinx.com ),依次点击用户->创建新用户。

进入用户注册界面,简单填写相关信息。其中,注册邮箱填写你的个人邮箱。同时注意密码需要包含字母,数字和特殊字符。点击Create Account,创建新用户。

完成注册后,提示XILINX官方会发送一封邮件用于激活新注册的账号。

进入个人邮箱,进行激活XILINX账号。点击邮件中红色方框标注的激活链接,激活账号。

激活完成后可以登录账号,进行下一步操作。

在XILINX官网首页,可以找到Support->Downloads & Licensing,下载Vivado HLx软件和申请License注册文件。

官网暂未直接提供License申请的入口链接,可以点击Downloads(软件下载)页面中的Licensing Help(注册申请帮助)。

在注册解决方法中心页面中,点击右上方Quick Links(快捷链接)下的Access Products Licensing Site(产品注册网址)。

进入产品注册页面,勾选Vivado Webpack License选项,点击Create Node-Locked License(生成锁定节点的注册文件)。

在弹出的创建注册文件对话框中,直接点击next,进入下一步。

再次点击next,生成注册文件。

完成注册后,系统会将注册文件发送至账号邮箱中,同时在License管理页面可以看到新生成的注册文件。

最后,下载邮件中的附件Xilinx.lic文件。

2、手把手教你如何安装Vivado HLx Webpack版本(含免费License)

Xilinx更新Vivado安装文件后,提供了一个自动化安装软件,可以实现软件自动下载与安装。同时在2016.1版本之后(含2016.1)集成了免费的Webpack License,所以在安装新版本Vivado时,不需要再次进行Webpack License安装。

下面以Vivado2016.2为例,详细介绍Vivado安装步骤。

打开Xilinx_Vivado_SDK_2016.2_0605_1_Win64.exe。

由于版本已经更新,所以软件会提示可下载最新版本。此处可以点击Continue,忽略该提示。点击Next,进入安装过程。

输入XILINX官网账号与密码。在此界面,可根据实际情况选择下载并安装软件,或者仅下载软件镜像文件。点击Next,进入下一步。

勾选三个I agree,同意相关协议。点击Next,进入下一步。

此时,可以根据个人情况选择安装版本。本例仅介绍免费版本(即Webpack版本)的安装过程。点击Next,进入下一步。

根据个人学习方向,选择设计工具,器件,以及其他安装选项。建议勾选Software Development kit,SDK,便于后期进行嵌入式软件开发。点击Next,进入下一步。

选择软件安装路径,以及其他相关选项。点击Next,进入下一步。

查看安装信息,确认无误后,点击Install,进行自动下载和软件安装过程。

该过程耗时较长,注意时间分配。下载过程中,需考虑网速。

安装时间主要与电脑硬件相关。

最后,软件会安装硬件驱动,需要将Xilinx Jtag仿真器与电脑断开。同时会安装WinPcap软件,依次安装即可。当弹出安装结束的对话框时,表明整个安装过程完成。

在安装结束前,会弹出Vivado License Manager(注册文件管理器):

在View License Status中查看不到任何注册文件状态,包含使用年限,适用版本等信息。这是因为隐藏了软件内建的注册文件,取消勾选Hide Free Built-in Licenses。

在新版本Vivado软件包含的内建注册文件使得你可以正常使用软件,但有限制。如高端器件不可用,高级工具(如HLS)不可用,等等。

3、License过期该怎么办?

在使用License文件(后缀名为lic的文件)注册XILINX软件和相关IP核时,License可能会过期,导致软件或IP核无法正常使用。

这里我们详细介绍一下此类问题的建议解决方法。

打开License文件存放路径(默认是C:\.Xilinx),删除原有过期License文件。

打开Vivado License Manager(注册文件管理器),选择Load License。如果注册文件是.lic结尾的注册文件,则使用Copy License加载新申请的注册文件。如果文件类型为XML,则使用Activate License激活注册文件,此过程需要联网操作。

选择获取到的License文件,完成加载操作。

在新的License文件加载后,可以在View License Status中查看注册文件状态,包含使用年限,适用版本等信息。

希望此篇教程能对准备或正在使用Vivado过程中碰到License问题的你带来帮助。如果有问题,欢迎给我们留言!

作者:judyzhong

出处:http://xilinx.eetrend.com/article/11624

Vivado如何获取License相关推荐

  1. 必看干货 | 学习Vivado如何获取License

    学习Vivado如何获取License Vivado入门必看 导读 老铁,还在为如何获取Vivado License而扎心?无论此刻你是一个需要安装Xilinx Vivado工具链的入门菜鸟,还是已有 ...

  2. Vivado官网获取License

    铁,还在为如何获取Vivado License而扎心?无论此刻你是一个需要安装Xilinx Vivado工具链的入门菜鸟,还是已有license过期的Vivado老铁,今儿咱就借着这篇文章,把学习「V ...

  3. 下载Vivado并获取免费License

    第一步:注册xilinx账号 在https://www.xilinx.com/注册一个账号.密码需要是数字,字母和特殊符号的组合.注册完之后,通过邮箱激活,再登录就可以了. 第二步:下载安装程序 在h ...

  4. 博科交换机获取License的方法

    前言:博科6505B交换机默认前面12个口是开启状态的,如果用户购买了18个口的授权,就需要自己到博科官网上生成license,然后导入到系统内,来激活其他的口. 一.准备工作: 1.用户首先需要注册 ...

  5. Zedboard(一)开发环境Vivado

     Vivado是Xilinx(赛灵思)公司出品的开发软件平台,适用于Zedboard开发板. 下面介绍Vivado搭建的过程: 一.注册Xilinx账号.下载安装包 推荐到Xilinx(赛灵思)英 ...

  6. xilinx 账户申请以及vivado 安装

    老铁,还在为如何获取Vivado License而扎心?无论此刻你是一个需要安装Xilinx Vivado工具链的入门菜鸟,还是已有license过期的Vivado老铁,今儿咱就借着这篇文章,把学习「 ...

  7. HighTec编译器获取不到license常见原因

    HighTec编译器获取不到license常见原因 经常遇到客户购买了HighTec编译器在安装和使用过程中咨询无法获取license导致无法编译的问题.本文专门针对License类型及常见的问题原因 ...

  8. FPGA基础入门【1】Vivado官方免费版安装

    本人自本科大二开始接触FPGA相关知识,现已将近五年,从这篇开始将从比较基础的角度讲述如何一步步了解FPGA.我相信动手一步步做下去是从零开始学习知识的最快方法,因此不会从最基础开始讲,而是在碰到相应 ...

  9. XenServer中License的设置对各种操作的影响

    在XenServer中,License的设置以及XenServer的版本对资源池中的操作具有一定的影响. 首先,XenServer的License有大体两种,一种为.xslic格式,一种为.lic格式 ...

最新文章

  1. 一些有趣的题目(java)持续更新
  2. 2、已知n个人(以编号1,2,3...n分别表示)围坐在一张圆桌周围。从编号为k的人开始报数,数到m的那个人出列; * 他的下一个人又从1开始报数,数到m的那个人又出列;依此规律重复下去,直
  3. ORACLE关闭启动的诡异错误
  4. Vuejs开发环境搭建及热更新
  5. 【计算机组成原理】控制器
  6. 最新Linux之Nacos高可用集群配置
  7. 【Allegro学习笔记】表面贴装封装设计过程——0603封装
  8. php淘口令,淘口令使用说明
  9. vostro3470装win7_dell latitude3470怎么安装win7系统
  10. 依米花播放器仿绚丽彩虹播放器程序
  11. 全球及中国燃气轮机行业产量规模预测及发展态势研究报告2021-2027年版
  12. 高等数学:如何理解泰勒展开公式?等价无穷小与泰勒公式的关系是什么?
  13. 【浙大版《Python 程序设计》题目集(解)】第3章-14 字符串字母大小写转换(15分)
  14. 活动策划书用什么计算机软件,各行业主流设计软件有哪些?(设计人员请进)...
  15. 怎样查看服务器上的文件夹大小写,查看ftp服务器所有文件夹大小写
  16. linux 转发永久修改,linux-networking – 如何使用systemd在Linux中正确永久启用ip转发?...
  17. 华清远见-STM32 SPI笔记
  18. 23西南大学电子信息专硕经验贴——常见问题
  19. 电机 输送机 机械手 提升机 发酵罐 减速机 破碎机
  20. 刷脸支付前程似锦借风招商利润可观

热门文章

  1. 逆向工具之脱壳神器反射大师(附脱壳环境搭建、脱壳实战)
  2. 低通采样定理 matlab,基于matlab的低通抽样定理仿真
  3. 【软件测试】接口测试用例和报告模板
  4. Bugku旧平台misc writeup
  5. 使用PMM图形化监控MySQL MGR动态
  6. 查看路由器中宽带的账号密码等
  7. 2020 年百度之星·程序设计大赛 - 初赛一题解
  8. 广东迅视资管 阻碍携号转网,阻碍的是什么
  9. [pytorch]yolov3.cfg参数详解(每层输出及route、yolo、shortcut层详解)
  10. 科罗拉多州立大学计算机科学,科罗拉多州立大学的世界排名