注意:

  1. 该方法只适用于小型软件的打包发布;
  2. 该打包向导可以预先检查需要的运行库支持;

由于visual studio自2012后取消掉了自带的打包程序,如果有需要打包安装,需要使用一个叫用InstallShield for Visual Studio 的插件来进行打包,但对于小型软件的发布,未免小题大做了。

具体步骤:

步骤 1:在单个要发布的项目上右键单击,选择发布。

步骤 3:选择生成安装向导文件的路径(不是以后软件安装的路径)

步骤 4:根据提示,下一步,下一步

这个是比较直接的,如果需要进行安装包的修改,右键项目属性里进行修改 

转载于:https://www.cnblogs.com/Chary/p/No00009D.html

[No00009D]使用visual studio 2015 update3打包程序安装包的简单方法(不需要InstallShield)...相关推荐

  1. 安装Visual Studio 2015(VS2015)时提示安装包JavaScript_ProjectSystem.msi丢失或损坏的解决方法

    安装Visual Studio 2015(VS2015)时提示安装包JavaScript_ProjectSystem.msi丢失或损坏的解决方法 相信不少同学在安装Visual Studio 2015 ...

  2. Microsoft Visual Studio 2019正式版离线安装包下载

    原文地址:https://www.bitecho.net/microsoft-visual-studio-2019.html#respond Visual Studio(简称VS)是微软公司的开发工具 ...

  3. 【官档整理】Visual Studio vs2017 vs2019 中文离线安装包下载,替代ISO镜像

    官档地址 创建脱机安装 - Visual Studio (Windows) | Microsoft Docs 2017企业版:NJVYC-BMHX2-G77MM-4XJMR-6Q8QF 2017专业版 ...

  4. Visual Studio 2015 和 Apache Cordova 跨平台开发入门(一)

    Visual Studio 2015 和 Apache Cordova 跨平台开发入门(一) 基于 Windows 10 的 Visual Studio 2015 跨平台的应用开发主要分为基于Visu ...

  5. Microsoft Visual Studio 2015 Installer Projects 打包 安装 部署

    打包工具组件: Microsoft Visual Studio 2015 Installer Projects 安装链接 问题汇总: 安装完 打包组件,第一次生成就抛出一个无法解决的错误. 经查阅相关 ...

  6. Visual Studio 2015专业版创建Win32控制台应用程序,C,C++源文件

    原配的Visual Studio 2015专业版不像之前的版本,在新建项目里面是找不到Win32模板的,那么怎么才能新建Win32项目和Win32控制台应用程序呢?今天我就带大家做一简单介绍. 先看如 ...

  7. vs远程编译linux程序,使用Visual Studio 2015远程调试Linux程序

    ##安装 Visual Studio 2015 安装时注意将跨平台移动开发->Visual C++移动开发->Viaual C++ Android 开发的选项勾上 ##安装PUTTY Vi ...

  8. Visual Studio 2015年预览设置: 辅助安装程序说明

    本文介绍了第三方应用程序安装辅助安装的 Visual Studio 2015年预览时安装的说明.如果您安装了多设备开发功能,您需要使用其他第三方软件来处理这些项目.辅助安装程序允许您将部署到您的计算机 ...

  9. 体验Visual Studio 2015 Windows Forms应用程序开发与维护

    昨天到半夜还没有等到Visual Studio 2015的下载地址,实在熬不住就先休息了.北美地区的时区比北京时间要晚一些,今天早上到公司就看到Visual Studio 2015的下载地址,迅速的将 ...

最新文章

  1. 大型“数码管”解决方案:用了 28 个舵机的时钟,到底有什么特别?
  2. 豆瓣图书的推荐与搜索、简易版知识引擎构建(neo4j)
  3. 给echarts添加筛选时间控件时,控件不显示,并设置数据库无数据时echarts模块显示暂无数据
  4. Java里try catch的简单用法
  5. Div+CSS布局入门教程(四) 页面顶部制作之二
  6. 还在修改博士论文?这份《博士论文写作技巧》为你指南
  7. python爬取邮件内容_利用Python爬取获取博客园文章定时发送到邮箱
  8. 电子计算机 电子工程 职称,电子信息工程师职称评定
  9. 函数式反应型编程(FRP)
  10. MATLAB数字水印技术实现
  11. Uva 816 Abbott's Revenge 紫书165页例题
  12. 单维度量表验证性因子分析_验证性因素分析介绍
  13. pixhawk源码下载与编译
  14. 异度之刃2 任务打杂店的帮手去哪获取纯洁石
  15. gitlab-runner错误之:New runner. Has not connected yet(runner注册成功到了gitlab,但是前面的图标是灰色三角形)
  16. 21-7-09 主要元素
  17. 全国计算机博弈比赛具体,全国计算机博弈竞赛总则
  18. 一些电脑使用的技巧和软件分享(电脑初学者必备)
  19. 线性异质双官能PEG试剂荧光素-聚乙二醇-巯基FITC-PEG-SH
  20. 视频信号时序驱动说明--VESA V1.0.13

热门文章

  1. 如何反映两条曲线的拟合精度_【隆旅干货分享】差压传感器的应用及精度特性分析...
  2. linux:rsync命令
  3. easyui js解析字符串_js相关:详解Jquery Easyui的验证扩展
  4. android摄像头预览功能,android通过camera和surfaceview选择摄像头并即时预览
  5. 计算机控制技术黄勤,微型计算机控制技术 教学课件 黄勤 第3章微型计算机控制系统的常用控制.ppt...
  6. tomcat源码分析_百战卓越108天tomcat和servlet源码分析
  7. chrome浏览器无法上网_低调使用,内置特殊功能的浏览器。。
  8. 泊松分布的分布函数_常见概率分布汇总
  9. oracle存储过程无效字符_Oracle存储过程基础+ 错误代码
  10. python变量初始化_tensorflow之变量初始化(tf.Variable)使用详解