目录

摘要 .................................................................................................................................................. 1

1 多功能双向移位寄存器 ............................................................................................................... 2

1.1 基本工作原理 .................................................................................................................... 2

1.2 基本实现方案 .................................................................................................................... 2

2 电路图设计................................................................................................................................... 4

2.1 电路结构............................................................................................................................ 4

2.2 真值表................................................................................................................................ 4

3 Verilog描述8位双向移位寄存器 ............................................................................................... 6

4 程序仿真....................................................................................................................................... 8

5 总结 ............................................................................................................................................ 10

参考文献......................................................................................................................................... 11

双向移位寄存器 8位双向移位寄存器电路设计

武汉理工大学《能力拓展训练》说明书

摘要

移位寄存器是基本的同步时序电路,基本的移位寄存器可以实现数据的串行/并行或并行/串行的转换、数值运算以及其他数据处理功能。[wwW.nIUBB.NET)在本设计中,使用硬件描述语言Verilog,在EDA工具QuartussII中,设计8位双向移位寄存器硬件电路,根据设计语言进行功能时序仿真,验证设计的正确性与可行性。

关键字:Verilog QuartusII

移位寄存器 1

双向移位寄存器 8位双向移位寄存器电路设计

武汉理工大学《能力拓展训练》说明书

8位双向移位寄存器电路设计

1 多功能双向移位寄存器

1.1 基本工作原理

移位寄存器是基本的同步时序电路,基本的移位寄存器可以实现数据的串行/并行或并行/串行的转换、数值运算以及其他数据处理功能。[wWw.niUbb.nEt)在本设计中定义移位寄存器中的数据从低位触发器移向高位为右移,移向低位为左移。

为了扩展逻辑功能和增加使用的灵活性,某些双向移位寄存器集成电路产品又附加了并行输入、并行输出等功能。如图1所示是上述几种工作模式的简化示意图。

双向移位寄存器 8位双向移位寄存器电路设计

右移串行输入(DIR左移串行输出(DOL并行输入 03右移串行输出(DOR) DIL) 12并行输出

图1 多功能移位寄存器工作模式简图

1.2 基本实现方案

图2所示是实现数据保持、右移、左移、并行置入和并行输出的一种电路方案。图中的D触发器FFm是N为移位寄存器中的第m位触发器,在其数据输入端插入了一个4选1数据选择器MUXm,用2位编码输入S1、S0控制MUXm,来选择触发器输入信号Dm的来源。当S1?S0?0时,选择该触发器本身输出的Qm,

n?1n次态为Qm,使触发器保持状态不变;当S1?0,S0?1时,触发器FFm?1?Dm?Qm

的输出Qm?1被选中,故CP脉冲上升沿到来时,FFm存入FFm?1此前的逻辑值,即n?1nn?1n而Qm从而实现右移功能;类似地,当S1?1,S0?0时,MUXmQm?Qm?1,+1?Qm,

选择Qm?1,实现左移功能;而当S1?S0?1时,则选中并行输入数据DIm,其次

n?1态Qm?DIm,从而完成并行数据的置入功能。上述四种操作概述于表1,此外,

2

双向移位寄存器 8位双向移位寄存器电路设计

武汉理工大学《能力拓展训练》说明书

在各触发器的输入端QN?1~Q0,可以得到N位并行数据的输出。(wwW.NiUBB.nEt)

双向移位寄存器 8位双向移位寄存器电路设计

图2 实现多功能双向移位寄存器的一种方案

如表1所示,为图2多功能双向移位寄存器的功能表:

表1 图2的功能表

双向移位寄存器 8位双向移位寄存器电路设计

3

双向移位寄存器 8位双向移位寄存器电路设计

2.2 真值表

2.1 电路结构

2 电路图设计

存器,完整电路图如图3所示。[Www.nIubB.nET]

武汉理工大学《能力拓展训练》说明书

有效位对应的数据选择器和最高有效位对应的数据选择器。

双向移位寄存器 8位双向移位寄存器电路设计

图3 8位双向移位寄存器

数据选择器编码端S1S0分别对应地接在一起,同时选择D触发器的信号数据来

行输入。Dsr是右移串行数据输入端,Dsl是左移串行数据输入端,分别接最低

此电路由8个4选1数据选择器、8个带异步清零的D触发器组成。所有的

D触发器的同步,和并行输出数据的清零。另,每个D触发器的输出对应一位并

源。D触发器时钟端CP接一起,清零端CR也同样接在一起,这样可以保证级联

根据上一节的移位寄存器的一种基本实现方案,可以设计出8位双向移位寄

分析电路图,可得此8位双向移位寄存器的真值表,如表2所示:

免责申明:本栏目所发资料信息部分来自网络,仅供大家学习、交流。我们尊重原创作者和单位,支持正版。若本文侵犯了您的权益,请直接点击提交联系我们,立刻删除!

8位并行左移串行转换电路_双向移位寄存器 8位双向移位寄存器电路设计相关推荐

  1. 8位并行左移串行转换电路_三菱plc试题及答案

    三菱plc试题及答案 三菱plc试题及答案的资料,你找到了吗?那么,关于三菱plc试题及答案分享给大家,以下就是小编整理的三菱plc试题及答案,一起来看看吧! 一.单项选择(30分) 1.PLC是在什 ...

  2. 8位并行左移串行转换电路_设计8位双向移位寄存器电路精品

    目录 1 多功能双向移位寄存器 ...................................................... 1 1.1 基本工作原理 . .............. ...

  3. 8位并行左移串行转换电路_74ls194串行数据到并行数据的转换

    移位寄存器是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移.74LS194是一个4位双向移位寄存器,最高时钟脉冲为36MHZ 74LS194引脚功能 图1 74 LS194逻辑符号及引脚排列 ...

  4. 8位并行左移串行转换电路_8位双向移位寄存器电路设计

    目录 摘要 .............................................................................................. ...

  5. 8位并行输入串行输出的移位寄存器的Verilog描述

    1 Verilog描述 module shift_p2s(     input         clk,     input [7:0]    din,     input         load, ...

  6. 用D触发器和必要的门电路设计一个2位双向移位寄存器. 具体要求见下表(A、B为控制端), 左移串行输入信号为L, 右移串行信号为R

    A B 输出 0 0 保持原状态 0 1 右移 1 0 左移 1 1 清零 在开始分析前, 我们要理清以下四个概念: ①保持原状态: 在下一系统CLK信号来临时, 寄存器状态不变. ②右移: 设寄存器 ...

  7. 51单片机之IO口扩展——74HC165芯片并行转串行实验

    我们都知道通信从大的方面有两种:串行和并行.串行的最大优点是占用总线少,但是传输速率低:并行恰恰相反,占用总线多,传输速率高.市面上有很多这样的芯片,有串入并出的(通俗讲就是 一个一个进,最后一块出来 ...

  8. FPGA之道(35)Verilog中的并行与串行语句

    文章目录 前言 Verilog的并行语句 Verilog连续赋值语句 普通连续赋值语句 条件连续赋值语句 Verilog程序块语句 沿事件 纯组合always 纯时序always 具有同步复位的alw ...

  9. 锁与并发工具包与线程池与LockSupport与Fork/Join框架与并行流串行流与阻塞队列与JPS,jstack命令查看死锁查看线程状态与AQS个人笔记九

    朝闻道,夕死可矣 本文共计 86564字,估计阅读时长1小时 点击进入->Thread源码万字逐行解析 文章目录 本文共计 86564字,估计阅读时长1小时 一锁 二Java中13个原子操作类 ...

最新文章

  1. DPDK — RTE_LOG 日志模块
  2. Newtonsoft.Json 概述
  3. 【OpenCV3】图像通道分离与合并——cv::split()与cv::merge()详解
  4. Mockito框架学习 - how does expected annotation work
  5. JavaScript-基础入门.0014.JavaScript内置对象
  6. 田刚:怀念陈省身先生
  7. 开发利器之IntelliJ IDEA学习笔记
  8. java 做猜数字小游戏_Java Properties类:利用Properties类制作猜数字小游戏
  9. mysql时间段查询语句_MySQL 如何查看慢查询语句
  10. Oracle Clusterware工具3
  11. WIN10下使用VS2017的MSVC编译FFMPEG3.4.2动态静态库
  12. endnote 参考文献加序号_EndNote插入文献序号排序混乱怎么破 | 科研动力
  13. pspice计算机仿真实验,基于OrCAD_PSpice二阶动态电路的计算机仿真分析
  14. python支持多种编程范式吗_理解真格量化的Python编程范式
  15. 将C盘里的Users文件夹移动到D盘
  16. MySQL 的 MRR 到底是什么?
  17. 判断char*是否为utf8编码
  18. pythonweb图书管理系统_部署图书管理系统项目
  19. Python Png转格式为Pdf。
  20. 【应用实例】单片机PM2.5空气监测仪--攀藤G5激光PM2.5传感器

热门文章

  1. 云时代阿里服务器的演进与展望
  2. 南方人,在北京,夏秋冬
  3. 桌面应用程序 架构_关于该架构的全部内容:探索不同的架构模式以及如何在您的应用程序中使用它们
  4. 移动互联及大数据“路路拍”上线仪式——圆满成功
  5. 7.27北京 以我的方式纪念环法--香山游击
  6. mysql mysqldataadapter_MySql中MySqlDataAdapter类的用法
  7. discuz插件 inc.php,discuz的插件是怎么操作数据库的?
  8. 2015年c语言等级考试题1 10 2分,全国计算机等级考试二级c语言真题题库1+2015年9月.docx...
  9. Miko二次元动漫视频网站源码 视频播放带仿哔哩哔哩视频字幕弹幕
  10. java 代码实现各数据的正则校验