OK , Let’s begin
一、仿真
四位全加器的代码如下
add4的代码

module add_4(input[3:0] a,b,output[3:0] sum,output cout,input cin);assign{cout,sum} = a+b+cin;endmodule

adder4_test的代码

module adder_4();wire[3:0] sum;wire cout;reg[3:0] a,b;reg cin;initialbegin#0 a = 4'b0001; b = 4'b1010; cin = 1'b0;#5 a = 4'b0010; b = 4'b1010; cin = 1'b1;#5 a = 4'b0010; b = 4'b1110; cin = 1'b0;#5 a = 4'b0011; b = 4'b1100; cin = 1'b1;#5 a = 4'b0111; b = 4'b1001; cin = 1'b0;#5 a = 4'b0001; b = 4'b1100; cin = 1'b1;#5 a = 4'b0011; b = 4'b1100; cin = 1'b0;#5 a = 4'b0111; b = 4'b1111; cin = 1'b1;#5 $finish;endadd_4 u1(.a(a),.b(b),.cin(cin),.sum(sum),.cout(cout));
endmodule

1、打开modelsim10.4
选择file,选择New --> project

2、文件名可以自定义,这里命名为add
(注意不能用中文)

3、选择create new file,创建一个为add4.v的文件
选择文件类型为Verilog

4、右键添加文件add4_test, 重复上述操作即可

5、双击选中项目,将文章开头的两个代码分别复制到右边的代码框中

6、点击编译

7、编译完成后会显示0错误,0警告
然后就可以进行下一步了

点击Library

8、进行仿真
展开work的组
右键对测试文件add4_test进行仿真

9、将objects下的项目全部选中,拖动到右边wave栏
然后点击仿真

10、点击否


11、波形太小可以点击放大镜


二、嗯,还有电路图
在objects下右键,依次找到Add to --> dataflow --> signals in design,如此便可以调出电路图


三、最后,大功告成
最后的最后,如果文章对你有帮助的话,还请点个赞,观众姥爷的支持将是我更新最大的动力

使用modelsim设计4位全加器,并调出波形图和电路图(详细教程)相关推荐

  1. 原理图以及vhdl设计一位全加器

    原理图设计以及VHDL设计 一位加法器 全加器原理 全加器真值 输出表达式 原理图设计法 VHDL设计法 代码如下: 全加器是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器.一位全加器 ...

  2. 第三次笔记:算术逻辑单元 电路基本原理 加法器的设计 一位全加器 串行进位加法器 并行进位加法器 补码加减运算器 无符号整数加减法 标志位的生成

    文章目录 算术逻辑单元 逻辑运算 一位全加器 串行进位加法器 并行进位加法器 补码加减运算器 加法器原理 补码加法器原理 无符号整数加减法 标志位的生成 算术逻辑单元 算术逻辑单元就是运算器里面的AL ...

  3. 一位全加器 VHDL设计与实现

    作者:chenjieb520 一.设计目的 熟悉Quartus II的VHDL文本设计流程全过程,学习组合电路的设计,仿真和测试. 二.设计内容 设计一位全加器,给出程序的设计.软件编译.仿真分析.硬 ...

  4. FPGA(2)--例化语句--1位全加器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 一.实验目的 熟悉元件封装方法,掌握层次化电路设计方法:掌握VHDL例化语句的设计方法. 二.实验内容 1.用VHDL语言设计1 ...

  5. 用行为级描述方式实现一个加法器电路(基于ISE的设计)(2输入1位全加器电路)

    准备 先用行为级描述方式实现一个2输入一位全加器电路 由于后面需要使用综合工具进行综合,这里先声明使用的FPGA是Virtex-7系列的: 目的很单纯,就是熟悉一下使用ISE进行FPGA设计的一般流程 ...

  6. 一位全加器的设计与实践

    认识全加器 半加器 半加器是能够对两个一位的二进制数进行相加得到半加和以及半加进位的组合电路 半加器的输出表达式为S=A⊕B,C=AB,逻辑电路图如下 一位全加器 全加器的真值表如下,其中Ain表示被 ...

  7. 一位全加器的设计和交通灯控制器EDA

    一.实验内容 1)熟悉quartusll开发环境 2)一位全加器的设计和交通灯控制器. FPGA为核心,设计一个交通灯控制器,每个路口都有红.黄.绿三盏灯.该交通信号灯控制器由一条车道A和一条车道B汇 ...

  8. 在Verilog语言中,使用门级建模设计一个由1位全加器组成的4位全加器

    4位全加器的门级建模 Verilog语言的层级 在Verilog硬件描述语言中,我们可以分许多层次对电路进行描述,每一层都有自己的特点.层次分为开关级.门级.数据流级.行为级.我们不能说必须使用哪一种 ...

  9. FPGA实现1位全加器设计

    文章目录 一.基础知识 1. 半加器 2. 全加器 二.原理图实现1位全加器 三.Verilog实现1位全加器 四.参考

最新文章

  1. 阅读《Android 从入门到精通》(29)——四大布局
  2. NetBeans 6.9 发布后选版 1 已经可用
  3. KVM虚拟化查看虚拟机IP
  4. 6、宏定义与预处理、函数与函数库
  5. 用计算机来猜密码,画圈圈也能解锁电脑?我猜你一定不知道!
  6. 计算机教学怎么为护士服务卫校,【计算机教学论文】中专卫生学校计算机教学论文(共1480字)...
  7. 查看设备UUID的方法
  8. Commons-FileUpload上传组件
  9. 在内核中实现URL重定向
  10. 测试页能打印 软件不能打,Windows7分享打印机能打测试页打印文件怎么没反应
  11. SAP Pa studay,Material master
  12. 台式计算机检测不到无线网卡,台式机检测不到无线网卡怎么办
  13. blast的替代品,使用hmmer寻找同源序列
  14. 《谷歌大数据经典论文读后感》
  15. ‘lengths‘ argument should be a 1D CPU int64 tensor, but got 1D cuda:0 Long tensor
  16. 数据仓库和数据集市详解:ODS、DW、DWD、DWM、DWS、ADS
  17. 瓷砖铺贴方法_15种瓷砖铺贴方式介绍 总有一种适合你
  18. Coding哥,魅族让你用洪荒之力来夺宝了!
  19. WinInet编程中如何使用异步
  20. 读半小时漫画史-中国史记录 第一章

热门文章

  1. 如何让培训更加生动化
  2. android app home键返回桌面再次打开会重新打开一个app
  3. HDU1677 Nested Dolls
  4. 巡检人员定位系统是如何实现智能巡检的?
  5. DNS优化的原理和方法
  6. ​人工智能创新:方向比努力更重要
  7. 【LaTeX】IEEE模板中作者及单位命令使用
  8. NBUT 1457 Sona (莫队算法)
  9. 文档翻译用什么软件好?最好是不用下载软件的
  10. 纯前端实现发送邮件(formspree + vue + elementui )