参考链接: Python中的摩尔斯电码翻译器

树莓派并行控制电机

Here's a clever guy to watch, Ashish Derhgawen in New Delhi. He's an 18 year old student and he's a wiz at hardware hacks. I've been picking his brain about Coding4Fun ideas, and we might turn his laser-pointer obstacle dectector into an article. He's been getting into WebCams. He's just set up a Web Camera panner using the stepper motor of a Floppy Drive, controlled via the Parallel Port. Seriously, read that last sentence again and drink it in. I'll wait....

这是一个值得关注的聪明人,新德里的Ashish Derhgawen 。 他是18岁的学生,并且是硬件黑客方面的老大。 我一直在为Coding4Fun的想法打动他的大脑,我们可能会将他的激光指示器障碍物检测器变成一篇文章。 他一直在学习WebCams 。 他只是使用通过并行端口控制的软盘驱动器的步进电机来设置网络摄像机全景镜头。 认真地,再读一遍最后一句话,然后喝进去。我会等...。

Take a look at the YouTube videos on his site. I "met" Ashish while talking about working on a Morse Code application with Ward Cunningham. We want to make a program that will notice an LED blinking Morse Code in a Video and then add decoded subtitles of the morse code as an overlay. Ashish started by getting an LED to flash using the Parallel Port as power and control (video here) and here.

看看他网站上的YouTube视频。 在谈论与Ward Cunningham一起使用摩尔斯电码应用程序时,我“遇到”了Ashish。 我们要制作一个程序,使视频中的摩尔斯电码闪烁,然后将摩尔斯电码的解码字幕作为覆盖层添加。 Ashish首先使用并行端口作为电源和控制按钮(此处为视频)和此处使LED闪烁。

Head over to his site and post a few comments of encouragement and/or ideas on his software, will you? I wish I was this industrious when I was his age. Only took me 15 extra years!

转至他的网站,并在他的软件上发布一些鼓励和/或想法的评论,对吗? 我希望我在他那年的时候能如此勤奋。 只花了我15年!

翻译自: https://www.hanselman.com/blog/camera-panner-using-a-floppy-drive-stepper-motor-controlled-by-a-parallel-port

树莓派并行控制电机

[转载] 树莓派并行控制电机_使用由并行端口控制的软盘驱动步进电机的相机摇摄器相关推荐

  1. STM32 HAL库PID控制电机 第三章 PID控制双电机

    STM32 HAL库PID控制电机 第三章 PID控制双电机 注:本文含全部PID控制代码,保证可以运行,如不能运行可以留言回复 1 基础配置 1.1 编码器电路图及配置 引脚 定时器通道 PA0 T ...

  2. python控制电机_[MicroPython]TurnipBit开发板旋转按钮控制直流电机转速

    1.实验目的: 学习在PC机系统中扩展简单I/O 接口的方法 学习TurnipBit拼插编程 了解直流电机的工作原理 学习L298N的工作原理 学习TurnipBit扩展板L298N和按键模块的接线方 ...

  3. 计算机通过变频器模拟输入控制电机正反转,用电脑控制的工频高压系统

    IEC-243-1规定, 电压上升率的选择要使受试材料击穿发生在一定耐压时间内.否则须马上以一定速率升压, 直到击穿为止.本系统在传统的工频试验装置基础上, 采取微机控制, 在 WIN98 平台上用V ...

  4. vpwm的控制变频_变频器调速控制方式和PWM脉宽调整技术有什么不同

    展开全部 PWM是变频器的一种电压调制方式. 调速控制是指调整频率636f70793231313335323631343130323136353331333365646239的同时,其他一些参数也要协 ...

  5. arduino步进电机程序库_【MM32 eMiniBoard测评报告】+驱动步进电机

    1. 引言 先简单聊一下我与MM32的故事.在去年,我开始做BLDC的项目,于是开始学习了解各家的mcu及其解决方案.有幸获得了灵动微电子的MM32 SPIN系列开发板,给我的感觉是开发板做工精良,开 ...

  6. python控制电机_树莓派使用Python控制步进电机

    步进电机和普通电机最大的不同是步进电机可以更加精确地旋转,这个特性使得它们在各种树莓派项目中得到广泛运用. 步进电机选择 市面上有很多步进电机可供选择,最后我们还是决定使用ULN2003控制板和28B ...

  7. python控制电机_树莓派Python控制步进电机

    步进电机控制在Python 玩了LED,开关和蜂鸣器后,我觉得自然的下一步是用步进电机或两个.这可能构成了一个想法的一部分,我不得不创建一个自动停止动画动画"转台",用于旋转和拍摄 ...

  8. python控制电机_利用树莓派控制步进电机——Python语言

    步进电机的优点在于它能够被精确定位,正向或反向一次性转动"一步",并且也能够连续转动. #!/usr/bin/env python ######################## ...

  9. dda算法控制电机_求PWM速度控制系统是通过脉宽调制器对大功率晶体管的开关时间进...

    行控制,将直流电压转换成某种频率的方波电压,并通过对脉冲宽度的控制,改变输出直流平均电压的自动调速系统.以脉冲编码器作为检测器件的常见PWM直流伺服系统的框图如图5-3所示.其工作过程如下: 图5-3 ...

最新文章

  1. 超全流程-idea对springboot+ssm的部署实现增删改查
  2. Spring框架学习笔记10:基于XML配置方式SSM框架西蒙购物网
  3. 《OpenGL编程指南(原书第8版)》——计算着色器
  4. Android 系统(205)---MTK平台分区回读
  5. python编程是干嘛的-编程小白必看!Python到底能做什么?
  6. 三维计算机视觉(二)--点云滤波
  7. struct结构体指定初始化项目
  8. centos 图形模式与命令行模式设置
  9. java程序设计俄罗斯方块_Java俄罗斯方块实现代码
  10. 计算机窗体视频教程,计算机二级Access2010视频教程
  11. EEGLAB预处理脑电数据
  12. maya! board_教你玩转MAYA的四十二精华造诣(第二期)
  13. leetcode链表总结
  14. Python——理论学习笔记
  15. Kubernetes—Pod
  16. jdbc之mysql的serverTimezone参数设置
  17. 湖北计算机考试条列,湖北省计算机考试大纲及考生须知
  18. errno!=EINTR是什么意思
  19. vim .bashrc进入vim编辑界面之后如何退出
  20. 三国系列:何进为什么要斩杀十常侍?

热门文章

  1. 【SHOI2002】【Luogu1434】滑雪(记忆化)
  2. php 点击选择图片上传,微信小程序图片选择、上传到服务器、预览(PHP)实现实例...
  3. 塔设备设计手册_石油化工设备设计选用手册 塔器
  4. vscode we cannot connect_秋季常规赛分秒必争,PC BET直播:TES能否拿下西安WE
  5. 2021高考成绩查询省排名,【重磅整理】2021全国各地高考预测分数线出炉,这样估分可以估算全省排名...
  6. 计算机组成原理—Cache和主存的映射模式
  7. 端到端--流量控制、可靠传输和滑动窗口机制学习资料整合
  8. 2015C蓝桥杯C++A:格子中输出(详细讲解)
  9. HDU 6185 2017广西邀请赛:Covering(矩阵快速幂)
  10. [PyTorch] 拼接多个tensor:torch.cat((A,B),axis)