普通chirp信号

​​​​​​​                                                            

频谱

图中信号载波3.2GHz,采样率256MHz,带宽100MHz,脉宽64us

%% --------仿真数据---------------
fs=256e6;
Nr=16384;
ts = 1/fs;
fc=3.2e9;
B=100e6;
Tp=64e-6;
k = B/Tp;%调频斜率
t =-Tp/2:ts:Tp/2-ts;         %距离向时间
f_axis = (-Nr/2:Nr/2-1)/Nr*fs;
i=1;
%chirp_idea=zeros(1,Tp*fs);
chirp_idea=exp(1j*2*pi*fc*t+1j*pi*k*t.^2);%cos(2*pi*fc*t+pi*k*t.^2)+1j*sin(2*pi*fc*t+pi*k*t.^2);
chirp_idea=cos(2*pi*fc*t-pi*k*t.^2)+1j*sin(2*pi*fc*t-pi*k*t.^2);
% for t = -Tp/2:ts:Tp/2-ts%-Tp/2:ts:Tp/2
% if(t>0)
%     chirp_idea(i)=cos(2*pi*fc*t-pi*k*t.^2)+1j*sin(2*pi*fc*t-pi*k*t.^2);
% end
% if(t<=0)
%     chirp_idea(i)=cos(2*pi*fc*t+pi*k*t.^2)+1j*sin(2*pi*fc*t+pi*k*t.^2);
% end
% i=i+1;
% end
figure(5);plot(real(chirp_idea));
figure(6);plot(chirp_idea);
figure(7);plot(f_axis/1e6,(abs((fft(chirp_idea)))));%20*log10(abs((fft(chirp_idea))))

信号是三角波调制时,频谱:

chirp信号频谱(三角波和锯齿波)相关推荐

  1. MATLAB绘制正弦波、方波、三角波、锯齿波的mif文件

    MATLAB绘制正弦波.方波.三角波.锯齿波的mif文件 % 对波形进行等间隔采样,以采样次数作为 ROM 存储 % 地址,将采集的波形幅值数据做为存储数据写入存储地址对应的存储空间 % 采样次数为 ...

  2. Verilog 代码编写 DDS信号发生器(幅频相可调正弦波、方波、三角波、锯齿波)纯VIVADO编写仿真

    DDS(Direct Digital Synthesizer)即数字合成器,是一种新型的频率合成技术,具有相对带宽大,频率转换时间短.分辨率高和相位连续性好等优点.较容易实现频率.相位以及幅度的数控调 ...

  3. MATLAB输出四种常用波形(方波、正弦波、三角波、锯齿波)

    1.我们在处理数学以及信号的时候经常会用到MATLAB,因为它可以使我们直观地观察到我们需要的信号的模型.因此掌握基本的MATLAB还是有必要的.这里我们来讲解一下如何用MATLAB产生四种常用波形( ...

  4. 【51单片机】DAC0832制作信号发生器(方波,三角波,锯齿波)

    [51单片机]DAC0832制作信号发生器(方波,三角波,锯齿波) 1 A/D与D/A 2 电路与元器件 3 源代码 3.1 程序开始 3.2 监视按钮操作 3.3 按钮控制显示波形 3.4 方波产生 ...

  5. 函数信号发生器:本设计中要求输出方波、三角波、锯齿波、正弦波,电压0-10V可调,调节精度0.1V;输出信号的频率0-100Hz;占空比可调

    函数信号发生器:本设计中要求输出方波.三角波.锯齿波.正弦波,电压0-10V可调,调节精度0.1V:输出信号的频率0-100Hz:占空比可调. 本次课题设计将利用单片机控制来实现信号发生系统所要求的功 ...

  6. PWM呼吸灯之三角波、锯齿波、正弦函数波

    脉冲宽度调制(PWM),是英文"Pulse Width Modulation" 的缩写,简称脉宽调制,是利用 微处理器(MCU)的数字输出来对模拟电路进行控制的一种非常有效的技术. ...

  7. 基于stm32单片机的四种波形发生器正弦波、方波、三角波、锯齿波

    基于stm32单片机的四种波形发生器(源程序+仿真+设计报告)全套资料 资料编号:010 具体功能: (1) 可以实现四种波形:正弦波.方波.三角波.锯齿波: (2) 通过按键进行选择,频率可以调整: ...

  8. 【51毕业设计案例】【006】波形发生器(正弦波、矩形波、三角波、锯齿波)-基于51单片机

    功能介绍: 1.输出 正弦波.矩形波.三角波.锯齿波 波形 2.设定波形输出频率 3.设定修改频率的步进值 整体功能简述: 通过按键可以修改输出的波形,一共可以输出四种波形,分别是:正弦波.矩形波.三 ...

  9. matlab 实现三角波、倒锯齿波与锯齿波

    matlab生成锯齿波.倒锯齿波和三角波的函数都是 sawtooth 生成锯齿波 使用的函数 f=sawtooth(2*pi*f*t); 其中 f 为频率 锯齿波的频率控制和正弦波和三角波函数的控制是 ...

  10. matlab 锯齿波调频,锯齿波线性调频信号参数提取方法与流程

    本发明属于雷达信号处理技术领域,特别是一种锯齿波线性调频信号参数提取方法. 背景技术: 侦察过程中,为提高测距精度和距离分辨力,信号必须有大的带宽:为提高测速精度和速度分辨率,信号又必须有大的时宽.由 ...

最新文章

  1. asp.net 用户控件
  2. 【OfficeDIY】有了站点了 ^_^
  3. 域服务器广播消息,广播,组播和UNIX域套接字
  4. python filter
  5. 深入理解PHP Opcode缓存原理
  6. 系统重装助手教你如何在Microsoft Edge中恢复“关闭所有选项卡”警告
  7. leetcode39. 组合总和(回溯)
  8. 高斯课堂数电讲义笔记_【法考经验贴】40岁三战主观题127分!他的笔记学习法助他逆袭!...
  9. 输出四位完全平方数_完全平方数中的规律
  10. 地牢房间迷宫走廊生成(二),Python实现洪水法、完美迷宫
  11. android解析布局树,Android Viewtreeobserver解析
  12. iwconfig的使用
  13. python 图形化开发用什么模块_用python进行GUI开发的选择/python的GUI模块(图形界面开发库)...
  14. 访问不了firefox附加组件页面怎么办
  15. 博一结束后的一些反思 -- 该如何平衡科研与生活
  16. 7-5 抓老鼠啊~亏了还是赚了? (20 分)
  17. linux下电子词典里的扩展存储卡不能访问到的问题
  18. html canvas drawrect 变形,canvas图形变换
  19. 干货实操!人人都能学会的Excel数据分析方法
  20. Codeforces 1419B. Stairs 递归

热门文章

  1. Maven基础篇之Maven的实战入门
  2. 511遇见易语言大漠多线程模块教程(1-12课)
  3. meta分析stata教程
  4. SAI红绿蓝三原色叠加效果
  5. SIMULATE 受力分析简单教程
  6. Java——数据结构与算法
  7. 6.1行为型模式--模板方法模式
  8. QCA-WIFI无线芯片介绍
  9. 大一计算机基础考试知识点,大学计算机基础考试知识点(完整版)
  10. access h3c交换机光口_华为交换机的配置及:access、trunk、hybird端口详解