安装教程

硬件:

1.笔记本:w7系统 64位;

2.软件:modelsim-win64-10.6d-se(安装文件及破解文件)

1、下载并解压好文件包,然后运行安装程序根据向导提示进行软件安装

2、依提示安装软件过程中需要注意的是,会有三个弹出框提示,首先是是否创建桌面快捷方式提示框弹出,点击是即可

3、弹出是否添加到系统环境变量提示框,同样点击是

4、在安装的最后,提示是否安装Key Driver,点击否,不要安装Key Driver

5、安装成功

破解教程

1、接下来对软件进行破解操作,将Patch文件夹内破解文件MentorKG.exe和patch64_dll.bat复制到软件安装路径下(win32或者win64),然后双击运行patch64_dll.bat

2、将生成的许可证另存为LICENSE.TXT,保存在软件安装路径下

3、创建系统环境变量**(新建)**

变量名:MGLS_LICENSE_FILE
变量值:LICENSE.TXT的路径

4、至此,成功注册激活。

5、重启电脑,配置生效,就可以正常使用了。

modelsim安装教程相关推荐

  1. 【Win10 64位 Modelsim安装教程】双击patch_dll.bat文件提示找不到mgls.dll或mgls64.dll问题

    以管理员身份运行cmd!!!!

  2. Quartus II与Modelsim软件安装教程

    Quartus II与Modelsim软件安装教程 一.Quartus II软件安装 1.Quartus II安装 2.器件安装 3.Quartus 破解 4.USB Blaster 驱动安装 二.M ...

  3. Questasim10.6c下载与安装教程

    Questasim10.6c下载与安装教程 前言 Questasim作为Modelsim的高级版,使用速度比Modelsim快很多,几乎所有操作指令都是和Modelsim兼容的.今天教大家如何下载并安 ...

  4. 软件安装教程-Vivado2018.3/ISE14.7/Modelsim10.5/Keil5/AD18/Cadence17.2/CAD2016

    硬件工程师软件安装教程 1.Vivado2018.3安装教程 本文的主要内容是介绍 Vivado 2018.3 版本(提取码:ebdx)的安装步骤及其 license(提取码: 6xkh) 的获取与加 ...

  5. Vivado 2017.2 安装教程(含多版本各类安装包)

    今天给大侠带来FPGA Xilinx Vivado 2017.2 安装教程,话不多说,上货. 各类软件安装包获取方式 Vivado 2017.2版本安装包获取,可在公众号内部回复"Vivad ...

  6. 入门数字集成电路设计系列(一)——Modelsim安装及破解过程记录

    入门数字集成电路设计系列(一) #Modelsim破解教程(记录) Modelsim是由 Mentor Graphics 公司开发的软件,它是世界最优秀的Verilog HDL语言仿真工具,是FPGA ...

  7. 【网站汇总】安装教程系列

    目录 1.Anaconda 2.Pytorch 3.Tensorflow 4.LaTeX 5.博客搭建 1.Anaconda [CV]Anaconda 安装教程_Fannnnf的博客-CSDN博客 2 ...

  8. oracle 11g完全安装教程(CentOS)

    oracle下载链接:http://www.oracle.com/technetwork/database/enterprise-edition/downloads/index.html oracle ...

  9. vs安装一直在提取文件_Visual Studio 2019下载及安装教程

    宸1分钟前 这可是我珍藏多年的资源啊. Visual Studio 2019 Microsoft Visual Studio(简称VS)是美国微软公司的开发工具包系列产品.是目前最流行的Windows ...

  10. 这套完美的Java环境安装教程,完整,详细,清晰可观,让你一目了然,简单易懂。⊙﹏⊙...

    JDK下载与安装教程 2017年06月18日 22:53:16 Danishlyy1995 阅读数:349980 版权声明:本文为博主原创文章,未经博主允许不得转载. https://blog.csd ...

最新文章

  1. TextInputLayout
  2. java面试 内存中堆和栈的区别
  3. nginx 读取文件_Nginx的提升php上传下载
  4. QT的QSslPreSharedKeyAuthenticator类的使用
  5. 编码设置导致了eclipse/myeclipse代码无法保存
  6. 【Python CheckiO 题解】All the Same
  7. 隐秘的角落里数亿场AI战争正在发生
  8. 异常:java.lang.NoSuchMethodError: org.apache.poi.ss.usermodel.Workbook.getCellStyleAt
  9. ICLR'22 | cosFormer:重新思考注意力机制中的Softmax
  10. Mybatis-学习笔记(8)常用的注解
  11. 图书管理系统Java代码
  12. android 手机ssh客户端,android手机ssh客户端ConnectBot
  13. Pubg九月十六日服务器维护,9月16日绝地求生更新时间公告 绝地求生9月16日更新维护...
  14. 【财务】FMS财务管理系统---对账平台
  15. 韦东山 IMX6ULL和正点原子_正点原子Linux第五十七章Linux MISC驱动实验
  16. 灰狼算法(GWO)优化支持向量机的数据回归预测,GWO-SVM回归预测,多输入单输出模型。
  17. 菜鸟学exchange之五:监控exchange 邮件系统和队列查看器
  18. 如何使用 minio 进行 BR 备份
  19. 2014年双11抢货宝典-双11促销商品价格对比清单---妈妈再也不用担心我成为剁手党啦
  20. 摄像机,光源和阴影 -----OGRE 3D 1.7 Beginner‘s Guide中文版 第四章

热门文章

  1. mysql 存储过程 查询语句怎么写_mysql 查询数据库中的存储过程与函数的语句
  2. 探讨【IGE】的源代码【三】。
  3. Arista CloudVision软件部署
  4. SQL Server 2008数据库自动化安装步骤视频教程,批发零售软件
  5. springboot整合富文本编辑器
  6. Clickhouse分片集群性能测试
  7. PDF加密、解密内幕(三) -破解加密PDF文件
  8. 《Oracle 11g 数据库基础教程》读书笔记:
  9. EXCEL VBA 操作图表
  10. [Devcpp]为Devc自定义编译器及Devcpp路径读取的Bug