• 设计m序列发生器其特征方程为,输出数字序列信号m_sequence码速率为10Mbps设计串行转并行电路,每4位m序列并行输出,先输入的串行数据位于并行输出数据的高位设计测试程序,进行功能仿真,将Verilog代码和仿真波形图整理入实验报告。

代码:

功能代码:

module shiyan51(reset,clock,clock_1,A_reg,m_sequence,m_seq_paral_out);

input clock;

input reset;

output A_reg;

output m_sequence;

output m_seq_paral_out;

output  clock_1;

wire clock;

reg clock_1;

wire reset;

reg [3:0] A_reg;

reg m_sequence;

reg [3:0] m_seq_paral_out;

reg [1:0] cnt_2;

//clock四分频

always @(posedge clock or posedge reset)

begin

if(reset)

begin

cnt_2<=0;

clock_1<=0;

end

else

begin if(cnt_2==2'd1)

begin

cnt_2<=0;

clock_1<=~clock_1;

end

else

cnt_2<=cnt_2+1;

end

end

//m序列产生

always @(posedge clock or posedge reset)

begin

if(reset)

begin

A_reg<=4'b0001;

m_sequence<=1'b0;

end

else

begin

A_reg[0]<=A_reg[2]^A_reg[3];

A_reg[3:1]<=A_reg[2:0];

m_sequence<=A_reg[3];

end

end

//串并转换电路

reg[3:0] xx;

reg en;

always @(posedge clock or posedge reset)

begin

if(reset)

begin

xx<=4'b0000;

end

else

begin

xx<={xx[2:0],m_sequence};//连接字符串,xx的后三位作为高位,m_sequence作为低位

end

end

always @(posedge  clock_1)

begin

m_seq_paral_out<=xx;   //4个clock_1之后,输出并行

end

endmodule

测试代码:

`timescale 1 ns/ 1 ps

module test();

reg  clock;

reg  reset;

wire clock_1;

wire [3:0]  A_reg;

wire  m_sequence;

wire [3:0]  m_seq_paral_out;

shiyan51 U1(reset,clock,clock_1,A_reg,m_sequence,m_seq_paral_out);

always  #50  clock=~clock;

initial

begin

reset=1;clock=0;

#(100);

reset=0;

end

endmodule

结果:

  • 用函数描述一个4选1多路选择器。设计测试程序,进行功能仿真,将Verilog代码和仿真波形图整理入实验报告。

代码:

功能代码:

module shiyan52(din,dout);

input din;

output dout;

wire [1:0] din;

wire [1:0] dout;

function [1:0] code;

input [1:0] din;

casex (din)

2'b00: code=2'h0;

2'b01: code=2'h1;

2'b10: code=2'h2;

2'b11: code=2'h3;

default :code=2'hx;

endcase

endfunction

assign dout=code(din);

endmodule

测试代码:

`timescale 1 ns/ 1 ps

module test();

reg [1:0]din;

wire [1:0]dout;

shiyan52 U1(din,dout);

initial

begin  din=2'b00;

#10 din=2'b01;

#10 din=2'b10;

#10 din=2'b11;

#10 din=2'b01;

#10 din=2'b10;

#10 din=2'b11;

#10 $stop;

end

endmodule

结果:

Verilog HDL设计实现m序列+选择器相关推荐

  1. 序列信号产生器的verilog HDL 设计

    一.状态转移型的序列信号产生器的verilog HDL 设计 用一个不断循环的状态机,循环产生序列信号001011.过程过于简单,我就不画状态图了. 直接给出verilog HDL设计代码: //有限 ...

  2. 移位寄存器专题(verilog HDL设计)

    目录 移位寄存器简介 分类 4位右移位寄存器工作原理 1. 16位右移位寄存器 2. 16位左移寄存器 3. 串行输入并行输出寄存器 4. 并行输入串行输出移位寄存器 移位寄存器简介 移位寄存器内的数 ...

  3. FIR滤波器设计(包括Verilog HDL设计以及MATLAB设计)

    FIR滤波器设计 滤波器原理:滤波器就是对特定的频率或者特定频率以外的频率进行消除的电路,被广泛用于通信系统和信号处理系统中.从功能角度,数字滤波器对输入离散信号的数字代码进行运算处理,以达到滤除频带 ...

  4. 巴克码相关器的verilog HDL设计

    巴克码相关器 巴克码相关器原理:巴克码相关器能够检测巴克码序列峰值,并且能够在1bits错误情况下检测巴克码序列峰值. 巴克码是20世纪50年代初R.H巴克提出的一种具有特殊规律的二进制码组.它是一个 ...

  5. 为什么在 Verilog HDL 设计中一定要用同步而不能用异步时序逻辑?

    本博文内容来源于:<从算法设计到硬件逻辑的实现>,仅供学习交流使用! 同步时序逻辑是指表示状态的寄存器组的值只可能在唯一确定的触发条件发生时刻改变.只能由时钟的正跳沿或负跳沿触发的状态机就 ...

  6. Verilog HDL设计方法

    Verilog HDL设计方法 一.采用Verilog HDL设计复杂数字电路的优点 1.1.传统设计方法--电路原理图输入法 1.2.Verilog HDL的标准化与软核的重用 1.3.软核.固核和 ...

  7. 【FPGA】分频电路设计(Verilog HDL设计)(良心博文)

    目录 前言 分频器分类 偶分频 奇分频 占空比为50%的奇分频 占空比不限定的奇数分频器 前言 虽然在实际工程中要产生分频时钟一般采用FPGA的时钟管理器来进行分频.倍频,通过设置一下IP核中的参数即 ...

  8. Verilog HDL设计数字跑表数码管显示

    用Verilog HDL设计数字跑表&数码管显示   用Verilog HDL设计一个数字跑表,具有复位.暂停.秒表等功能,同时为了便于显示,百分秒.秒.分钟信号均采用BCD码计数方式,并直接 ...

  9. 如何用Verilog HDL设计显示译码器

    Verilog HDL 设计显示译码器 逻辑原理: 7 段数码是纯组合电路,通常的小规模专用 IC,如 74 或 4000 系列的器件只能作十进制 BCD 码译码,然而数字系统中的数据处理和运算都是 ...

最新文章

  1. Android屏幕适配的一些常识
  2. PAT甲级1056 Mice and Rice:[C++题解]模拟、排名
  3. 20141203图片Base64编码与解码
  4. Spring Boot 静态资源映射与上传文件路由配置
  5. MS12_020漏洞
  6. html编写输出学生姓名,用C++编写一个学生信息管理系统
  7. Mysql中的外键分析(什么是外键,为什么要用外键,添加外键,主外键关联删除)
  8. 小甲鱼python二_小甲鱼python第二讲课后习题
  9. node在Fedora 22系统下开发环境搭建
  10. 联想g510拆键盘的简单方法_笔记本键盘怎么拆 教你如何正确拆笔记本键盘 (全文)...
  11. 华为初面+综合面试(Java技术面)附上面试题
  12. 【C语言】 C 语言 关键字分析 ( 属性关键字 | 常量关键字 | 结构体关键字 | 联合体关键字 | 枚举关键字 | 命名关键字 | 杂项关键字)
  13. net中winform教程 浏览器控件,还是微软的WebView2最好用
  14. 借助Excel批量重命名图片、文档,以及处理文件名中的空格问题(适合新手小白)
  15. VMware Workstation 无法连接到虚拟机
  16. JasperReport:几个莫名其妙的问题的解决
  17. 点到超平面距离的证明
  18. Day2--使用ESP32双核、U8G2 OLED任务、任务以绝对频率运行、任务内存优化
  19. 热搜第一!名校博士挤破头进中学当老师,甚至还有颜宁弟子......
  20. Navicat Premium闪退,求助

热门文章

  1. 阿里P8架构师谈:分布式架构设计12精讲
  2. 安装kenlm出现问题的解决方案gcc g++
  3. 【小程序】微信小程序开发实践
  4. [KMP]一本通(http://ybt.ssoier.cn:8088) 1698:字符串匹配
  5. JavaScript 转载
  6. 【多线程】:Synchronized和ReentrantLock的对比
  7. 特殊乘法(字符串循环的结束标志)
  8. jquery获取select中的option的text值
  9. SQL SERVER 与ACCESS、EXCEL的数据导入导出转换
  10. Android UI布局—— 仿QQ登录界面