基本流程
    1、Develop HDL File 
    2、Specify Libraries
        link_library 
        target_library
        symbol_library
        synthetic_library
    3、Read Design
        read_file
    4、Define Design Environment
        set_operating_conitions
        set_wire_load_model
        set_drive
        set_load
        ... ... ...
    5、Set Design Constraints 
        Design Rule Constraints
            set_max_transition
            set_max_fanout
            set_max_capacitance
        Design Optimization Constraints
            create_clock
            set_clock_uncertainty
            set_input_delay
            set_output_delay
            set_max_area
            ... ... ...
    6、Optimize the Design
        compile_ultra
    7、Analyze and Resolve Design Problems
        check_design
        report_timing
        report_constraints
        report_area
    8、Save the Design Database
        write

DC 逻辑综合的基本流程相关推荐

  1. 【DC综合】逻辑综合的实施流程

    逻辑综合实施流程 1. DC启动 1.1 四种启动方式 1.2 日志文件 1.3 启动文件 2. 读入设计文件 2.1 read 2.2 analyze&elaborate 2.3 link ...

  2. DC逻辑综合的相关概念

    ![在这里插入图片描述](https://img-blog.csdnimg.cn/e649b25691ac4090bd6f80d792d38819.png

  3. EDA10--DC逻辑综合(一)DC简介概念流程

    这里写目录标题 一.综合简介 二.DC简介 2.1 DC用户接口 2.2 DC逻辑综合 2.3 DC配置文件 2.4 DC输入输出 2.5 DC设计对象 2.6 DC设计约束 三.时序分析相关概念 3 ...

  4. DC入门教程(二)——综合的整体流程

    DC综合的整体流程(Global View) 1.准备好工艺库,HDL代码,约束文件. 2.启动环境的配置,主要是三大库的配置,target link symbel library. 3.读入设计,是 ...

  5. 数字IC设计流程总结

    IC设计是一个很复杂漫长的过程,笔者以下图进行总结,其中后端总结的很模糊,后续了解学习后再进行补充.笔者会根据自己的理解,一步步的分享自己理解的设计流程.其中难免有问题错误,望同学老师指出,感谢!哔哩 ...

  6. MFC在内存DC中绘图

    MFC在内存DC中绘图 文章目录 前言 一.什么是DC? 定义 DC对象介绍 二.绘图步骤 声明DC 选择DC对象 开始绘图 保存及显示 三.示例 四.总结 前言 因为用MFC框架做软件开发,前前后后 ...

  7. 数字IC设计bilibili-Designer Compiler的理论笔记+实操

    文章目录 理论部分 转眼已经进入工作半年了,然而IC设计方面的进步,却很少很少,DFT总算大致理论flow走了一遍,但细枝末节,也困难重重,不免让人灰心.今天开始,我将每周加速IC设计方面的理论与实践 ...

  8. 数字IC验证:电路基础知识(数字IC、SOC等)

    文章目录 0 SOC结构 1 数字IC设计的流程 1.1 逻辑综合的流程 2 电路基础 2.1 三极管BJT 2.2 MOSFET 2.3 CMOS 2.4 锁存器与触发器:RS/D/JK/T 2.5 ...

  9. 【安全研究】从mimikatz学习万能密码——上

    1.背景介绍 2015年1月2日,Dell Secureworks共享了一份关于利用专用域控制器(DC)恶意软件(名为"SkeletonKey"恶意软件)进行高级攻击活动的报告,S ...

最新文章

  1. python3 uvloop 简介
  2. 以supervisord启动elasticsearch
  3. Orange——The Data
  4. UIButton和UIimageView
  5. SpringCloud集成Security安全(Config配置中心)
  6. java计算器布局设计_用java设计一个计算器界面???
  7. 云端点保护解决方案行业调研报告 - 市场现状分析与发展前景预测(2021-2027年)
  8. Docker-服务安装
  9. .NET框架源码解读之SSCLI编译过程简介
  10. 计算机毕业设计ssm飞机售票管理系统63z52系统+程序+源码+lw+远程部署
  11. ETH-trunk(链路聚合协议)lacp
  12. 《华为研发》读书笔记与读后感范文3300字
  13. ceph的 cache tier实现分析
  14. c语言 一个数组奇数左边 偶数右边,C语言设计实验报告(第七次)
  15. 中国非处方彩色美瞳隐形眼镜行业销售动态与营销前景预测报告2022-2027
  16. tm1650中文资料_ds3231中文资料汇总(ds3231引脚图及特性参数_应用电路)
  17. 洛谷P1719 最大加权矩形
  18. 526. 优美的排列
  19. dhrystone测试结果_处理器性能测试基准程序(CoreMark)简介
  20. SQL Server中出现用户或角色在当前数据库已经存在的问题的解决

热门文章

  1. Python常见问题之单双引号使用错误
  2. 为什么需要内网穿透技术?
  3. arcmap坐标点生成线和面
  4. matlab求解存储论问题,运筹学课程08-存储论(胡运权 清华大学).pdf
  5. 蓝桥杯—超大玉螺旋丸
  6. jQuery基础--使用jQuery美化英雄联盟简介页
  7. 时间戳计算机网络,时间戳
  8. 杜比dss200服务器重装,杜比dss200服务器恢复系统操作.pdf
  9. 用BitBlt实现透明贴图
  10. 侍魂胧月服务器维护,侍魂胧月传说什么时候开新区 新区开服时间表