文章目录

  • 一、工具篇
  • 二、语言篇
  • 三、协议篇
  • 四、其他篇

一、工具篇

名称 描述
EDA Electronic Design Automation 电子设计自动化,EDA工具的集合名称
VCS synopsys公司的数字前端仿真工具
Verdi synopsys公司的数字前端debug工具
NCSIM cadence公司的数字前端仿真工具
INNOVUS cadence公司的数字版图实现工具
PT prime time synopsys公司的静态时序分析工具
DC design compiler synopsys公司的数字综合工具
Tessent mentor公司的DFT工具,市场占有率很高
Vivado Vivado FPGA厂商赛灵思公司2012年发布的集成设计环境
Modelsim mentor公司的数字前端仿真工具,也叫QUESTASIM
ICC IC Compiler synopsys公司用于自动布局布线的一款软件,很多公司都在用
GDSII 版图layout的文件格式
FSDB 常用的波形文件格式,用Verdi打开
VCD value change dump 一个通用的波形文件格式,信息详细,但文件较大

二、语言篇

名称 描述
Verilog 硬件描述语言
SystemVerilog 芯片验证语言
Shell 常用的一种脚本语言,和linux结合紧密
Python 常用的脚本语言,在人工智能方面使用很多
Perl 常用的一种脚本语言,非常适合文本处理
TCL 工具命令语言,调度各个软件的脚本语言

三、协议篇

名称 描述
APB Advanced Peripheral Bus ARM公司推出的AMBA总线规范之一,主要用于低带宽的外设之间的连接
AHB Advanced High-Performance Bus ARM公司推出的AMBA总线规范之一,主要用于高性能模块之间的连接
AXI Advanced eXtensible Interface ARM公司推出的AMBA总线规范之一,一种面向高性能、高带宽、低延迟的片内总线
GPIO General Purpose Input Output 通用输入/输出,总线扩展器
HDMI High Definition Multimedia Interface 高清晰度多媒体接口,是一种数字化视频/音频接口技术规范
SPI Serial Peripheral Interface 串行外设接口,是一种高速的,全双工,同步的通信总线
I2C Inter-Integrated Circuit I2C是一种常用的多向控制总线,只有两根线
UART Universal Asynchronous Receiver/Transmitter 通用异步收发传输器,一种常见的IP模块
CAN Controller Area Network ISO国际标准化的串行通信协议
MIPI Mobile Industry Processor Interface 移动产业处理器接口,为移动应用处理器制定的开放标准和一个规范
OCP Open Core Protocol 一个高效的、总线独立的、可配置和高度可扩展的接口协议
PCIe Peripheral Component Interconnect Express 外设组件互连标准,一种常见的总线标准
USB Universal Serial Bus 通用串行总线,一种高速的连接外设的总线协议

四、其他篇

名称 描述
IC Integrated Circuit 集成电路
LSI Large-scale integrated circuit 大规模集成电路
VLS I Very-large-scale integration超大规模集成电路
ASIC Application Special Integrated Circuit 专用集成电路,芯片设计公司的主流设计流程
FPGA Field Programmable Gate Array 现场可编程门阵列,与ASIC流程相对应
SoC System on Chip 片上系统,一般指规模比较大的芯片,大多含有CPU/MCU等
MCU Microcontroller unit 微控制器,主控模块
DSP Digital Signal Processing 数字信号处理模块, IC设计公司的算法实现经常采用
CPLD Complex Programmable Logic Device 复杂可编程器件,和FPGA类似
IP Intellectual Property 知识产权
FE Front End 前端,IC设计中的前端设计流程
DV Design Verification 验证,IC设计中的验证流程
BE Back End 后端, IC设计中的后端设计流程
FULLCHIP fullchip level 常用于数字前端设计和验证,指系统级和芯片级
GLS gate-level simulation 指数字验证中的门级仿真
LPS low power simulation 低功耗仿真,多用于低功耗设计验证中
FM formal 形式验证,网表与verilog进行比较
STA Static Timing Analysis 静态时序分析,数字IC设计流程中的重要环节
Netlist 门级网表,一般是RTL Code经过综合工具生成的网表文件
ECO Engineering Change Order 在项目后期,只能在门级对芯片设计进行修改
DFT Design for Test 为了增强芯片可测性而采用的一种设计方法,是数字IC流程中的重要步骤
ATPG Auto Test Pattern Generator 测试向量自动生成工具, DFT中的常见流程
BIST Build in System Test 内建测试系统,DFT中的常见流程
JTAG Joint Test Action Group 联合测试工作组,是一种国际标准测试协议,多用于芯片测试用
CTS Clock tree synthesis 时钟树综合,是数字后端实现中的重要流程
PD Physical design 物理设计,一般指数字后端的版图设计
PV Physical verification 物理验证,数字版图实现后需要做的验证
APR Auto place and route 自动布局布线,是数字后端版图实现的主要流程
NDR Non-Default Route 非默认连线规则,版图实现中的重要概念
Layout 版图,指芯片最终生成的版图,类似于建筑行业中的设计图纸
ERC Electronic Rule Check IC设计经过Layout后检查其版图是否符合电气规则
LVS Layout versus Schematic 版图与电路图一致性检查,变成版图后检查其版图与门级电路是否一致
DRC Design Rule Check 生成版图检查其是否符合工艺厂提供的设计规则,如宽度、间距、面积等
signoff 验收机制,验收标准
Tapout 流片,将最终的版图文件送到工艺厂去生产
DAC Digital to Analog Convert 数字信号到模拟信号的转换电路
ADC Analog to Digital Convert 模拟信号到数字信号的转换电路
CAD Computer-Aided Design 计算机辅助设计,专门帮助提供软件自动化
CDC clock domain crossing 异步时钟时序检查,是数字设计中的重要步骤
DMA Direct Memory Access 直接内存存取
RAM Random Access Memory 随机存储器
ROM Read Only Memory 只读存储器,具有非易失性
EEPROM Electrically Erasable Programmable Read-Only Memory 电可擦除只读存储器
DRAM Dynamic Random Access Memory 动态随机存取存储器,最为常见的系统内存
SRAM Static Random Access Memory 静态随机存取存储器
FLASH Flash EEPROM Memory 闪存,同时具有RAM快速读取数据的特点
LUT Look Up Table 查找表,用于存一些数据,本质就是一个RAM
IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会
SPEC specification 说明书,产品规范,每个岗位工程师都要写相应的spec
RTL Register Transformation Level 寄存器传输级,多指使用verilog来描述的层次
DUT design under test 待测试的设计模块
DUV design under verification 和DUT的意思类似
Testbench 测试平台,数字验证搭建用来测试的平台
UVM Universal Verification Methodology 主流的数字验证方法学,基于systemverilog
REGRESSION 回归测试,简单来说就是讲所有的测试用例不断的重复的跑,直到没有错误稳定一段时间
COVERAGE 覆盖率,数字验证常用术语,主要有代码覆盖率和功能覆盖率等

作者:xlinxdu
版权:本文是作者读书整理的笔记,部分素材来源于参考资料,侵权联系删。
转载:未经作者允许,禁止转载,转载必须保留此段声明,必须在文章中给出原文连接。

【读书笔记】IC行业常见术语相关推荐

  1. smt贴片加工行业常见术语及知识汇总

    smt贴片加工行业常见术语及知识汇总 SMT贴片加工行业是所有电子成品的上游产业链,电子产品内部都有一块电路板,电路板上包括许多各种类型的电子元件,电子元件需要通过SMT及 DIP装配到电路板上.下面 ...

  2. UI培训分享:UI设计行业常见术语有哪些?

    学会UI设计之后,大家后面就要进入到真正的UI设计行业了,那么关于UI设计行业的常见术语大家也要做一些了解,尤其是新人,这对后面的工作会很多有帮助,本期UI培训教程就给大家介绍一下UI设计行业常见术语 ...

  3. 金融IT 行业常见术语

    1银行卡 bank card 商业银行等金融机构及邮政储汇机构向社会发行的,具有消费信用.转账结算.存取现金等全部或部分功能的信用支付工具. 2 磁条卡 magnetic stripe card 物理 ...

  4. EffectiveJava读书笔记01

    本文为Effective Java中文版的读书笔记,可能部分术语听起来比较枯燥,但术语其实就是最精炼的总结,可以反复琢磨.由于时间仓促,看的囫囵吞枣,之后有空再刷. 文章目录 1.创建和销毁对象 0. ...

  5. 哈佛幸福课相关积极心理学经典英文原版书籍-读书笔记(连载中)(转)

    原文地址:http://www.wisdomsnack.com/1291.html 2000年, 美国心理学家Martin Seligman 和 Mihaly Csikszentmihalyi 发起了 ...

  6. 白帽子讲web安全 ——读书笔记:术语和理论

    最近心血来潮,对安全这些略感兴趣,就买了本 白帽子讲web安全 看看 ,这里做个读书笔记吧!方便啥时候忘了再看一下. exploit--漏洞利用代码 Script kids --脚本小子,利用expl ...

  7. 黑帽python第二版(Black Hat Python 2nd Edition)读书笔记 之 第八章 Windows常见特洛伊木马任务(1)有趣的键盘记录器

    黑帽python第二版(Black Hat Python 2nd Edition)读书笔记 之 第八章 Windows常见特洛伊木马任务(1)有趣的键盘记录器 文章目录 黑帽python第二版(Bla ...

  8. 黑帽python第二版(Black Hat Python 2nd Edition)读书笔记 之 第八章 Windows常见特洛伊木马任务(4)沙箱检测

    黑帽python第二版(Black Hat Python 2nd Edition)读书笔记 之 第八章 Windows常见特洛伊木马任务(4)沙箱检测 文章目录 黑帽python第二版(Black H ...

  9. 黑帽python第二版(Black Hat Python 2nd Edition)读书笔记 之 第八章 windows常见特洛伊木马任务(2)截屏

    黑帽python第二版(Black Hat Python 2nd Edition)读书笔记 之 第八章 windows常见特洛伊木马任务(2)截屏 文章目录 黑帽python第二版(Black Hat ...

最新文章

  1. 粗糙集(Rough sets)、模糊逻辑(Fuzzy Logic)
  2. 中文.TW台湾域名首度向大陆开放
  3. 获取指定包名下的所有类
  4. Springboot默认加载application.yml原理
  5. java web登录action_JavaWeb中登陆功能
  6. easyui combobox java_Easyui的combobox实现动态数据级联效果
  7. vue 文件转换二进制_在vue中使用axios实现post方式获取二进制流下载文件(实例代码)...
  8. js经典试题之数组与函数
  9. 爱尚QQ网修改QQ在线机型源码
  10. 如何计算信号的信噪比
  11. PPT宏编程——ChineseCounter
  12. linux操作系统拼音,linux怎么读 解读linux的拼音笔顺笔画顺序怎么写!
  13. 流利说英语level4_英语流利说level4原文graph?分享一下我的经验?
  14. 计算一个字符串里面特定字符的个数
  15. vue中使用echarts词云
  16. VC++ 6.0之MSComm控件安装、使用
  17. ESP32-BMP180气压、气温传感器
  18. 《Wireshark数据包分析实战(第2版)》目录—导读
  19. 像windows一样给Mac os重装系统
  20. linux下查看磁盘空间

热门文章

  1. 泛函分析笔记(八) 凸集和凸函数
  2. 如何使用百数批量打印二维码?
  3. matlab中的向量除法
  4. Linux解压tar.gz和tar.bz2的命令
  5. Unity代码自动生成
  6. 拓嘉辰丰电商:拼多多新品适合场景推广还是搜索推广
  7. JNLP 文件无法打开的解决办法
  8. kubernetes缔造者Craig McLuckie谈:有效的产品管理
  9. IntelliJ IDEA设置编码格式
  10. mac恢复文件的方法,在Mac上使用时间机器恢复文件