Verdi自动添加波形脚本应用

在刚接触verdi时,每次查看波形都需要重新加载一遍,写了简单的脚本用于实现波形加载,没想到下载需求挺多,也有私信问如何使用,在这里将做简单的说明。

脚本有三个文件,分别是genwavecmd.sh、template.cmd和wavelist.txt,其中template.cmd是Verdi波形加载的模板,之前采用的Verdi软件版本低,在新版本中执行时将出现语法错误;wavelist.txt是需要查看的信号列表,必须以相应的语法格式书写,才便于genwavecmd.sh脚本读取。

wavelist.txt文件内容如下:

/DCU_tb/DCU/ClockGen
(
por
oscclk
rstn
)
/DCU_tb/DCU/RAMController
(
POR
PWE
PPROG
)
/DCU_tb/DCU/Timer
(
timer_INT
)

信号的定义以层级的形式声明信号所属的模块,之后在圆括号里声明需要查看的信号名,比如第一组信号声明,首先声明/DCU_tb/DCU/ClockGen,表示从顶层模块引用ClockGen模块中的信号,括号中的信号为该模块中的信号。后面两组信号类似。

template.cmd中的类容需要修改以在新版本的verdi中运行,主要修改内容为文件的读入和fsdb文件的加载,修改后的文件如下:

debImport “-f” “./tb.v”
wvCreateWindow
wvResizeWindow -win $_nWave2 0 30 1920 394
wvOpenFile -win $nWave2 {/home/stu05/project/test_example/vg_dump.fsdb}
verdiDockWidgetHide -dock widgetDock_WelcomePage
verdiDockWidgetHide -dock widgetDock
<Inst.Tree>
verdiDockWidgetHide -dock widgetDock
<Decl.Tree>
verdiDockWidgetHide -dock widgetDock

verdiDockWidgetHide -dock widgetDock_MTB_SOURCE_TAB_1
verdiDockWidgetDisplay -dock windowDock_nWave_2
wvResizeWindow -win $_nWave2 0 30 1920 874
wvGetSignalOpen -win $_nWave2
wvAddSignal -win $_nWave2 -clear

该模板以Verdi_N-2017.12-SP2为例。

在terminal中输入下列命令运行:

执行完成之后将产生wave.cmd文件,该文件用于Verdi软件运行。

verdi将自动加载wavelist.txt中的波形。

当Verdi软件使用多了以后,我们发现Verdi在每次加载信号之后会记录软件执行的动作,并保存在当前路径./VerdiLog/verdi.cmd文件中。在第一次手动加载需要查看的波形后,之后可以通过Verdi -play verdi.cmd命令加载。

上述内容纯属于个人理解,难免有不当之处,还望各位同行多多指点。

数字IC设计随笔之一(Verdi自动添加波形脚本应用)相关推荐

  1. 数字IC设计随笔之六(DVE中波形数据alias显示)

    DVE中波形信号alias的定义 在使用VCS做仿真时,将波形中状态值显示为容易理解的具有实际有意义的字符串,将会大大提高debug的效率. 在DVE窗口中选择需要别名替换的信号,然后点击菜单sign ...

  2. 数字 IC 设计领域的书籍、工具、脚本推荐

    数字 IC 设计领域的书籍.工具.脚本推荐 版权所有,⌊新芯设计⌉\lfloor新芯设计\rceil⌊新芯设计⌉,转载文章,请注来源 文章目录 数字 IC 设计领域的书籍.工具.脚本推荐 引言

  3. 数字IC设计随笔之二(VCS、DVE|Verdi单步调试)

    VCS.DVE|Verdi单步调试 使用VCS+Verdi进行仿真时,需要在仿真文件中添加如下代码: initial begin$fsdbDumpfile("test.fsdb") ...

  4. 数字IC设计随笔之七(TCL脚本编程入门)

    TCL简介 TCL是Tool Command Language的缩写,主要应用在芯片设计领域中.TCL脚本程序是一系列命令构成的集合,在芯片设计工具中,便于流程化执行,提高效率. TCL语言与其它脚本 ...

  5. 数字IC设计工程师成长之路

    转载:http://kellen.wang/zh/the-knowledge-base-of-a-qualified-ic-design-engineer/ I. 技能清单 作为一个真正合格的数字IC ...

  6. 数字IC设计工程师的知识结构

    刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要.然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构, ...

  7. 一个合格数字IC设计工程师的知识结构

    本文转自:http://kellen.wang/zh/the-knowledge-base-of-a-qualified-ic-design-engineer/ 刚毕业的时候,我年少轻狂,以为自己已经 ...

  8. 数字IC设计工程师的知识结构(转载学习)

    I. 技能清单 作为一个真正合格的数字IC设计工程师,你永远都需要去不断学习更加先进的知识和技术.因此,这里列出来的技能永远都不会是完整的.我尽量每年都对这个列表进行一次更新.如果你觉得这个清单不全面 ...

  9. 【IC设计】Synopsys数字IC设计流程

    文章目录 数字IC设计流程 前端设计 RTL编写和HDL仿真 逻辑综合 门级仿真 形式化验证 后端设计 数据准备 set mw_phys_refs * set link_library * 数据准备 ...

最新文章

  1. Kmeans聚类算法详解
  2. 世界在音乐中得到了完整的再现和表达。
  3. Linux awk内部变量
  4. 初步学习Linux文件基本属性和Cygwin STATUS_ACCESS_VIOLATION 错误
  5. 【网址收藏】dubbo特新概念及特性、环境搭建、dubbo-monitor安装、rpc原理以及dubbo原理:框架设计、启动解析加载配置信息、服务暴露、服务引用及调用
  6. oracle告警日志备份,教你怎样用Oracle方便地查看报警日志错误
  7. MVC与MVVM的区别
  8. informatica中元数据管理
  9. kibana 显示 @timestamp 时间问题(utc or browser当前时间)自动转换显示
  10. linux主机设备acl,linux上的终端类型、ACL、PAM模块
  11. jquery各种事件使用方法总结(from:天宇之游)
  12. 【图像修复】基于matlab GUI Lucy_Richardson迭代法图像修复【含Matlab源码 846期】
  13. squid笔记下载_Squid下载-Squid鱿鱼笔记下载v3.4.9.5 安卓版-西西软件下载
  14. 悉尼大学计算机专业本科学费,悉尼大学
  15. 利用极域电子教室控制别人电脑
  16. Sencha 项目多语言和多主题的 build 配置
  17. 在github搭建自己写的网页
  18. ★电车难题的n个坑爹变种
  19. PlatformIO IDE搭建统一的物联网嵌入式开发环境
  20. 2021-04-14

热门文章

  1. 数学建模:微分方程模型—常微分方程数值解算法及 Python 实现
  2. 有关Scrollview嵌套ListView的那些事
  3. day68 Django--中间件
  4. KANO模型以及产品经理的素质模型
  5. HTML+JS实现浏览器前端下载图片
  6. oracle linux6 u盘安装,用U盘安装Oracle Linux 6.2
  7. JS / JQ 学习记录
  8. VSCode系列之IDEA快捷键
  9. OneNav一为主题魔改教程(一):优化后台新增网站时调用API却没有赋好值的BUG,以减少复制编辑工作量--一梦
  10. 牛客小白月赛4 D.郊区春游