DVE中波形信号alias的定义

在使用VCS做仿真时,将波形中状态值显示为容易理解的具有实际有意义的字符串,将会大大提高debug的效率。

在DVE窗口中选择需要别名替换的信号,然后点击菜单signal—set radix—user defined—edit显示编辑用户定义基数对话框,点击New、Delete新建或者删除定义的别名。可以通过两种方式实现,一种是列表形式,一种是TCL脚本。

  • 列表方式

列表方式的实现非常简单,只需要将波形值与显示字符串做称列表即可。

  • TCL脚本方式

TCL方式需要定义一个TCL proc,波形中的数据以参数的方式输入,然后TCL proc返回对应的字符串,可以用switch或者if-else实现。


下面简单示例代码为CPU中指令译码部分的相关处理,将取到的指令数据处理为具有实际意义的指令码,可以更方便的理解cpu执行的行为。

proc inst_alias { arg }  {set hex [expr 0x$arg]set opcode [ expr $hex & 0x0000007F]set fun1 [ expr [ expr $hex >> 12] & 0x7]#Proc contents here ... switch $opcode {19 {switch $fun1 {0 {set results "ADDI"}}}23 {set results "AUIPC"}99 {switch $fun1 {0  {set results "BEQ"}1 {set results "BNE"}} }}return $results
}

上述内容仅记录实现的方法,如有错误,还请各位同行指出。

数字IC设计随笔之六(DVE中波形数据alias显示)相关推荐

  1. 数字IC设计随笔之二(VCS、DVE|Verdi单步调试)

    VCS.DVE|Verdi单步调试 使用VCS+Verdi进行仿真时,需要在仿真文件中添加如下代码: initial begin$fsdbDumpfile("test.fsdb") ...

  2. 数字IC设计随笔之一(Verdi自动添加波形脚本应用)

    Verdi自动添加波形脚本应用 在刚接触verdi时,每次查看波形都需要重新加载一遍,写了简单的脚本用于实现波形加载,没想到下载需求挺多,也有私信问如何使用,在这里将做简单的说明. 脚本有三个文件,分 ...

  3. 数字IC设计随笔之七(TCL脚本编程入门)

    TCL简介 TCL是Tool Command Language的缩写,主要应用在芯片设计领域中.TCL脚本程序是一系列命令构成的集合,在芯片设计工具中,便于流程化执行,提高效率. TCL语言与其它脚本 ...

  4. 树芯计划-ASIC数字IC设计讲解(3)连载中......

    大家好,我是IC修真院的Andy老师,接下来跟同学们一起来分享ASIC数字IC设计. 大家可以想象一下,一个项目,从市场上经过分析,定义了要做的东西,其给我的architecture,经过架构师们努力 ...

  5. 数字IC设计中为什么要避免锁存器(Latches)

    数字IC设计中为什么要避免锁存器(Latches) 上学时,老师说判断语句要把条件写全,不然会生成锁存器,做项目时又说多比特寄存器信号的赋值一定要加if条件,不让出现else的赋值.就很矛盾,本文主要 ...

  6. 数字IC设计中ECO的那些事,其实并不是事

    数字IC设计中ECO的那些事,其实并不是事! 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 点击标题下「蓝色微信名」可快速关注 刚好最近一直在忙着芯片的测 ...

  7. 数字IC设计的第一步——Synopsys EDA Tools的安装

    目录 简述 安装环境和文件 1. 环境 2. 文件 安装包链接 1. 环境 2. 文件 安装前踩坑 安装步骤 1. 安装前的准备工作 2. 用Synopsys Installer安装 3. 在wind ...

  8. 数字IC设计工程师成长之路

    转载:http://kellen.wang/zh/the-knowledge-base-of-a-qualified-ic-design-engineer/ I. 技能清单 作为一个真正合格的数字IC ...

  9. 数字IC设计工程师的知识结构

    刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要.然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构, ...

最新文章

  1. R语言数据可视化 ggplot2基础4 位置与坐标系
  2. 进击的 Spring Cloud Alibaba —— 框架与服务
  3. 面试官:能不能手写一个 Promise?
  4. Table Dragger - 简单的 JS 拖放排序表格插件
  5. Master HA源码解析
  6. SQL 学习笔记一 入门
  7. 双线路接入时IPSec数据不通问题
  8. The .NET Core runtime can be found at:(Windows)
  9. 局域网共享设置软件_新页软件:如何设置局域网模式
  10. 第一篇:一个win32控制台程序
  11. 值得推荐的C/C++框架和库 转
  12. 2022年MathorCup A题资料汇总
  13. 计算机网络实验指导书 pdf,《计算机网络》实验指导书.pdf
  14. java表情包_大佬 java表情包 - 大佬 java微信表情包 - 大佬 javaQQ表情包 - 发表情 fabiaoqing.com...
  15. Pepper机器人的背后,孙正义的情怀和梦想
  16. spring入参为指定值,校验java入参的值为规定的值,利用Validator指定值校验注解——一看就会
  17. 扬声器程序设计(微机原理实验四)
  18. HTC Desire HD(DHD G10) 刷机时MIUI卡在htc开机画面的解决方法
  19. java模拟电梯面向对象_OO_JAVA_电梯运行模拟_单元总结
  20. Linux 私房菜————Linux系统基本操作命令(十)|引导程序|服务控制

热门文章

  1. 程序员效率:整理常用的在线笔记软件
  2. 3.4.7nbsp;安迪·格鲁夫
  3. 摩尔定律、安迪-比尔定律、反摩尔定律
  4. Web.14.三层架构之购物车项目01
  5. Tumblr营销大法(一)
  6. iOS完全免费的4个APP,良心安利!谁说便宜没好货
  7. 解决页面怎么模拟手机端屏幕
  8. 服务器没有显示器能接笔记本吗,笔记本能连显示器吗,笔记本怎么才能接显示器(图文)...
  9. Universal Link|iOS开发者不得不知的技术
  10. 紫光展锐发布系统级安全的高性能5G SoC移动平台T820