• 开发板资料在网上搜索BASYS3即可查到
  • 一个很简单的项目上手(按键控制灯的亮灭),主要练习vivado的使用
  • 主界面
  • 新建工程

  • 给工程取名,注意不要带空格,next
  • 首选项
  • creat file
  • 语言类型我们练习Veirlog
  • constraits稍后添加,next
    - 在搜索框搜索,选择器件,next

  • 可以看到界面是很好看的
  • 双击打开
  • 觉得界面太小也可以选择让窗口悬浮
  • 写代码,保存
        module led_switch(led,switch);output [1:0] led;input [1:0] switch;assign led[0] = switch[0];assign led[1] = switch[0] & switch[1];endmodule
  • 然后添加约束,对应到板子上的硬件


  • 生成后,双击打开
  • 添加约束,对应空格,注意管脚名称与板子上对应
set_property PACKAGE_PIN V17 [get_ports {switch[0]}] // switch[0]加括号{}因为switch[0]是数组set_property IOSTANDARD LVCMOS33 [get_ports {switch[0]}] // LVCMOS代表CMOS3.3V电压set_property PACKAGE_PIN V16 [get_ports {switch[1]}]set_property IOSTANDARD LVCMOS33 [get_ports {switch[1]}]set_property PACKAGE_PIN U16 [get_ports {led[0]}]set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]set_property PACKAGE_PIN E19 [get_ports {led[1]}]set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
  • 产生bitstream这是要写入板子的bit文件
  • OK完事
  • 开始运转,速度非常慢,不得不忍受,要是报错得重新回去调试
  • 他实际上按这个流程跑下来
  • 打开硬件管理器
  • 没什么显示,别慌
  • 看板子已经连接上了,点绿条里的Program device
  • 一般文件夹的位置在这,有的同学不是就得手动找
  • 如果没有programmed得手动选择
  • 实验结果
  • 讲个笑话,一开始我连开机都不知道,得摁开关

fpga从入门到放弃(一)基于vivado2018环境开发板Artix 7系列BASYS3(更新中)相关推荐

  1. 校招总结—FPGA从入门到放弃

    校招总结-FPGA从入门到放弃 感谢咸鱼FPGA的授权转发,原文地址为https://www.cnblogs.com/xianyufpga/ 其实 offer 早就定下来了,最近忙着入党考试,现在才腾 ...

  2. 基于易灵思开发板RiscV的调试流程

    基于易灵思开发板RiscV的调试流程 一.相关环境准备 1.软件Install 2.硬件Device 二.硬件环境搭建 1.开发板连接 2.下载代码到开发板 三.软件环境搭建 1.启动Eclipse软 ...

  3. 基于Arduino Uno开发板的红外遥控开发

    基于Arduino Uno开发板的红外遥控开发 1 准备 1.1 硬件与软件 1.2 走线 2 代码 2.1 ReceiveDump 2.2 SendRawDemo 1 准备 1.1 硬件与软件 单片 ...

  4. Arduino开发(二)_基于Arduino UNO开发板的RGB灯光控制方法

    Arduino开发(二)_基于Arduino UNO开发板的RGB灯光控制方法 首先,我们来看一下Arduino UNO开发板的具体引脚排列,如下图所示, 再了解一下三色RGB LED模块,如下图所示 ...

  5. 基于ShineBlink物联网开发板和机智云平台开发的“针对短期内宠物无人照顾的智能宠物屋”系统

    正式介绍作品前先说明一下,我是湖南文理学院计算机与电气工程学院的一名大三学生,我叫陈海涛.作品全部内容均为个人创意.个人设计并手工制作,后期会考虑写论文投期刊,So!!严禁抄袭!抄袭必究! 该作品是用 ...

  6. 基于NNEWN NSR3588开发板Android12系统实现四屏异显

    基于NNEWN NSR3588开发板Android12系统实现四屏异显 RK3588四屏异显功能实现介绍 1.硬件连接 2.内核dts配置 3.Android异显示方案 4.四屏异显测试APK及效果演 ...

  7. 基于arduino uno 开发板制作的 智能书包项目

    基于arduino uno 开发板制作的智能书包,当时收短视频启发,集合自动售货机的代码和RC522 读卡模块代码结合制作,现基本功能可以实现 ,目前代码部分尚不稳定需要继续改进 //一个智能书包小发 ...

  8. 基于stm32物联网开发板(1)

    基于stm32物联网开发板(1)   本开发板采用了STM32F103RET6作为核心CPU,72MHZ工作频率,512KB flash,64KB Sram.本开发平台外设模块有ESP8266 WIF ...

  9. 基于stm32物联网开发板(2)--LCD屏幕

    基于stm32物联网开发板(2)–LCD屏幕 LCD应用展示: LCD屏幕应用 1.概述 屏幕尺寸为1.3寸,分辨率240*240,颜色格式RGB565,驱动IC:ST7789VW: 超大可视角度:大 ...

最新文章

  1. Manifest merger failed with multiple errors, see logs
  2. JavaScript历史状态管理
  3. spring boot缓存_Spring Boot和缓存抽象
  4. 万万没想到,我的炼丹炉玩坏了
  5. 全面取消校园全封闭管理!这个省发通知了!那北京呢?
  6. Flutter 微信分享功能实现
  7. 我见过不少赚了钱,投资孵化一堆店铺的,一般而言后续发展都会出问题的
  8. 编写REG注册表文件
  9. AVFoundation照片/视频捕捉功能 小视频/直播
  10. html闪星星特效,jquery和canvas炫酷星星闪烁特效插件
  11. Zabbix-3.0.X 监测服务器硬盘IO状态
  12. 2021年危险化学品生产单位安全生产管理人员新版试题及危险化学品生产单位安全生产管理人员考试总结
  13. 快过年了用Python抢红包
  14. 沟通的艺术(笔记)——前言
  15. 【服务器数据恢复】华为OceanStor服务器热备盘同步数据失败的数据恢复案例
  16. 如何用C++开发STM32?
  17. 远程桌面连接:提示出现身份验证错误,要求的函数不受支持。这可能是由于CredSSP加密数据库修正错误。
  18. mantis的安装配置(for windows)
  19. php 生成带有小数的随机数
  20. CSDN博客看不到评论?CSDN博客怎么看评论?(已解决)

热门文章

  1. jQuery实现tab栏转换
  2. 带网格的_雨花区井圭路社区开展消防安全网格化实战演练活动
  3. 【离散期末复习】:集合论
  4. oracle异机复制,oracle冷备份恢复和oracle异机恢复使用方法
  5. 数据库中系统数据库服务器,sql中什么是系统数据库服务器
  6. Linux开机启动nginx
  7. vue中v-model和v-bind区别
  8. Lombok不起作用原因
  9. C++ 点(.)操作符和箭头(->)操作符
  10. 【搞事】HTTP文件传输服务器搭建|网站文件分享|HFSxCHFS用法