CDC(Clock Domain Crossing)

DA信号从时钟域CLKA进入到时钟域CLKB,这条path被称为CDC path

1.SpyGalss

SpyGalss是目前业界唯一可靠的 RTL Signoff 解决方案,包含五大模块: lint,CDC,LP(低功耗),Constraint(约束),DFT(可测试性)

Spyglass 可以运行在BATCH 或者 GUI 模式。通常模式下是在batch模式下执行分析,在GUI模式下进行debug

1.1 Design Setup Stage

需要读入的文件及命令如下:

1.2 Goal Setup and Run Stage

在此阶段,你将运行Goals。Goals是Rules的集合。你可以选择运行Rules,也可以指定Rules执行的顺序。
在SpyGlass CDC分析期间,你可以在不同的阶段运行不同的SpyGlass CDC目标。在每个阶段中,修复所报告的违例并转移到下一个阶段。使用这种分步骤的方法可以帮助你了解一些需要考虑的CDC问题。如果不遵循这个分步骤的方法,你可能会看到大量违反CDC的情况,其中大多数是由于不正确的设置或没有修复前几个阶段的违例引起的。

SpyGlass CDC 的目标和规则如下图所示

1.3 Analyze Results Stage

进行SpyGlass CDC分析的先决条件如下:

  • 成功运行design-read流程
  • 确保设计包含最少数量的非预期的black box
  • 在设计中为实例化的技术库单元提供相应的技术库(.lib)
  • 在设计中指定有关时钟的信息,以及复位信息(如果可能的话)

建议在开始运行SpyGlass CDC之前,从设计规范、IPs或芯片引线收集这些信息。如果你没有这些信息,SpyGlass CDC也能够提供自动检测时钟和复位,但是会推断出额外的时钟和复位,最好是能够预先明确所有的时钟和复位信息

[flow] 1.Spyglass CDC相关推荐

  1. 快速上手SpyGlass——CDC检查

    随着技术的发展,数字电路的集成度越来越高,设计也越来越复杂.很少有系统会只工作在同一个时钟频率.一个系统中往往会存在多个时钟,这些时钟之间有可能是同步的,也有可能是异步的.如果一个系统中,异步时钟之间 ...

  2. spyglass CDC方法学

    CDC issues 亚稳态 spyglass CDC方法学流程 sdc2sgdc 黑盒子的clock用assume_path约束 该goal会产生clockreset的约束文件 利用cdc_top_ ...

  3. VC Spyglass CDC(二)常见的CDC处理方法

    本篇源代码: https://github.com/holdenQWER/CDC_example CDC解决方案 EDA厂商提供golden的CDC处理单元,Synopsys的Building Blo ...

  4. VC Spyglass CDC(三) 与Spyglass CDC的区别

    VC Spyglass CDC Synopsys Verification Continuum的静态验证平台VC static platform包含了VC LP,VC formal,VC Spygla ...

  5. VC Spyglass CDC

    1.CDC 检查过程 1.1 Read Design 1.2 CDC Setup 支持自动推断clock/reset,不一定准确,需要double check. create_generated_cl ...

  6. 【转载】CDC的那些事:CDC工程经验总结

    转载自https://my.oschina.net/u/4579683/blog/4487378 这一篇老李给大家简单介绍一下工业界常用的CDC检查工具Spyglass,然后奉上CDC设计和验证中的工 ...

  7. 转载 SpyGlass 学习

    原创声明http://blog.csdn.net/wonder_coole/article/details/79542241,本博客所有文章均同步发表于www.mx1980.cn/blog SpyGl ...

  8. 快速上手SpyGlass——基本流程

            SpyGlass,这是一个很强大的RTL验证级工具.它不仅仅能检查sdc的错误,还能做以下各种检查:Low Power, DFT,CDC(Cross Domain Check). 一. ...

  9. CDC:跨时钟域处理

    前言(还未整理好) CDC(clock domain crossing)检查(跨时钟域的检查)是对电路设计中同步电路设计的检查.非同步时钟没有固定的相位关系,这样Setup/Hold不满足而产生了亚稳 ...

最新文章

  1. 【亲测有效】在win10上如何安装Fortify17.10
  2. CSS Tricks网站创始人作序推荐,这本书助你成为Web开发高手
  3. 田志刚:写文章的两个好处
  4. 我的Debian 8.0 (jessie)配置文档
  5. GCC安装UBUNTU
  6. java web乱码_【SpringBoot WEB 系列】RestTemplate 之中文乱码问题 fix
  7. 网站升级到新服务器,第一次折腾站点升级HTTPS 虽胜尤败
  8. 计算机右键菜单太多,电脑点击右键太多选项怎么办
  9. 手把手教你nginx下如何增加网站
  10. MFC开发IM-第二十三篇、C++中 UTF-8转成Unicode
  11. Teamcenter2007 安装步骤
  12. 揭秘世界首位机器人公民:按照赫本形象设计、曾扬言毁灭人类
  13. python机器学习库sklearn——Lasso回归(L1正则化)
  14. [渝粤教育] 西南科技大学 成本会计 在线考试复习资料(1)
  15. 2020中国华录杯·数据湖算法大赛—定向算法赛(吸烟打电话检测)baseline-tensorflow2.3-python3.6
  16. 《神经网络与深度学习》读书笔记(不完全)
  17. “致广大而尽精微,极高明而道中庸。”
  18. 逻辑回归实现文本分类
  19. mysql frm怎么打开_frm 文件怎么打开?
  20. 最全APP测试思想及流程要点,高薪测试人员一定要看

热门文章

  1. 「科普」带你认识5G基站
  2. 实战-PHP远程代码执行漏洞
  3. ENVI中使用水体指数法NDWI提取水体
  4. 搭建开源的运维工单平台
  5. 【python】读取图片复制并重命名
  6. 网易邮箱支持手机收发邮件的服务器,手机如何使用IMAP服务收发网易的邮件
  7. 零基础怎样学IT难吗?新手如何快速入门?
  8. 对象,构造函数,构造函数小练习
  9. flex 布局列表自动换行
  10. 百度CEO李彦宏为何这么拼