根据状态转移写状态机-三段式

题目描述

如图所示为两种状态机中的一种,请根据状态转移图写出代码,状态转移线上的0/0等表示的意思是过程中data/flag的值。

要求:
1、 必须使用对应类型的状态机
2、 使用三段式描述方法,输出判断要求要用到对现态的判断
注意rst为低电平复位
信号示意图

`timescale 1ns/1nsmodule fsm1(input wire clk  ,input wire rst  ,input wire data ,output reg flag
);
//*************code***********//parameter s0 = 4'b0001;parameter s1 = 4'b0010;parameter s2 = 4'b0100;parameter s3 = 4'b1000;reg[3:0] c_state,n_state;always@(posedge clk or negedge rst)beginif(!rst)c_state <= s0;elsec_state <= n_state;endalways@(*)begincase(c_state)s0:beginif(data == 1'b1)n_state = s1;elsen_state = s0;ends1:beginif(data == 1'b1)n_state = s2;elsen_state = s1;ends2:beginif(data == 1'b1)n_state = s3;elsen_state = s2;ends3:beginif(data == 1'b1)n_state = s0;elsen_state = s3;enddefault:n_state = s0;endcaseendalways@(posedge clk or negedge rst)beginif(!rst)flag <= 1'b0;else if(c_state == s3 && data == 1'b1)flag <= 1'b1;elseflag <= 1'b0;end
//*************code***********//
endmodule

根据状态转移写状态机-三段式相关推荐

  1. DSP嵌入式C语言状态机,三段式状态机描述及模版

    三段式状态机描述及模版 [复制链接] 本帖最后由 Aguilera 于 2018-11-10 20:23 编辑 时序电路的状态是一个状态变量集合,这些状态变量在任意时刻的值都包含了为确定电路的未来行为 ...

  2. (43)FPGA状态机三段式

    (43)FPGA状态机三段式 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA状态机三段式 5)结语 1.2 FPGA简介 FPGA(Field Programm ...

  3. FPGA状态机三段式

    1.1 FPGA状态机三段式 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA状态机三段式: 5)结束语. 1.1.2 本节引言 "不积跬步,无以至千里 ...

  4. (40)Verilog实现序列10111【状态机三段式】

    (40)Verilog实现序列10111[状态机三段式] 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog实现序列10111[状态机三段式] 5)结语 1. ...

  5. verilog状态机 三段式 状态机 (代码 可以运行)

    代码在git link "硬件设计很讲究并行设计思想,虽然用Verilog描述的电路大都是并行实现的,但是对于实际的工程应用,往往需要让硬件来实现一些具有一定顺序的工作,这就要用到状态机思想 ...

  6. 彻底搞懂状态机(一段式、两段式、三段式)

    转自:https://blog.csdn.net/wordwarwordwar/article/details/78509445 实例:FSM实现10010串的检测 状态转移图:初始状态S0,a = ...

  7. Verilog有限状态机三段式描述方法【原创*改进】

    1.好的状态机标准 好的状态机的标准很多,最重要的几个方面如下: 第一,状态机要安全,是指FSM不会进入死循环,特别是不会进入非预知的状态,而且由于某些扰动进入非设计状态,也能很快的恢复到正常的状态循 ...

  8. FPGA状态机(一段式、二段式、三段式)、摩尔型(Moore)和米勒型(Mealy)

    1.状态机 1.1.理论 FPGA不同于CPU的一点特点就是CPU是顺序执行的,而FPGA是同步执行(并行)的.那么FPGA如何处理明显具有时间上先后顺序的事件呢?这个时候我们就需要使用到状态机了. ...

  9. Verilog写状态机的三种描述方式之三段式

    Verilog写状态机的三段式描述方式1 1,RTL代码 2,门级网表 3,测试前仿真代码 4,前仿真波形+验证 状态机的设计思路: 一是从状态机变量入手,分析各个状态的输入.状态转移和输出: 二是先 ...

最新文章

  1. nginx 反向代理,动静态请求分离,proxy_cache缓存及缓存清除
  2. 网站图片做SEO优化的技巧有哪些?
  3. 《python3网络爬虫开发实战》第一章、开发环境配置-问题汇总
  4. csv.writer写入文件有多余的空行
  5. docker镜像创建与优化
  6. 一个完整的c语言的单链表代码,单链表完整C语言纯代码.docx
  7. STL不是线程安全的啊
  8. 【java】随机数的阶乘
  9. 矩阵分析与应用+张贤达
  10. 高等数学与matlab要用电脑吗,知到高等数学与MATLAB启蒙答案全套
  11. 计算机病毒与防护学生反思,计算机病毒与防治教学反思
  12. mac php开发套件_Mac 下搭建 PHP 开发环境的步骤
  13. 第一周作业(零基础)
  14. 计算机中的无线网卡使用哪两种类型的扩展槽,第三章计算机每一种扩展槽的相关信息.ppt...
  15. 【bzoj 3339】Rmq Problem 【bzoj 3585】mex(可持久化线段树)
  16. 独家:沪上三大律师解读“三驾马车”下的数据合规与上海数商体系创新​
  17. 多校1(1009)(杭电4308)
  18. 性能最好的七大免费杀毒软件排行榜
  19. Linux中的常用shell命令(一)
  20. leetcode 1399. 统计最大组的数目

热门文章

  1. 计算机变革时代:虚拟化和云计算
  2. [原创].如何解决Nios II SBTE中出现的undefined reference to `xxx'警告
  3. linux在mak时候出现错误,在使用yocto构建linux映像时出现“memfd戋create的静态声明跟随非静态声明”错误...
  4. java代码顺序执行命令_将小程序安装到Java卡的APDU命令的顺序是什么?
  5. ssh重装ubuntu_ubuntu 安装 ssh
  6. android theme 使用方法,Android入门之Style与Theme用法实例解析
  7. 深度学习之基于Xception实现四种动物识别
  8. [蓝桥杯][2015年第六届真题]机器人塔(DFS)
  9. python查漏补缺--抽象类和接口以及Overrides、函数重载
  10. 连接数据库是显示无法连接到服务器,如何解决出现无法与数据库服务器建立连接的方法...