不只是解决 error ,更多是记录和总结。加油!


目录

说明

问题描述

解决错误



说明

VIVADO IDE :2021.1

操作系统:WIN 10

FPGA芯片系列:Kintex 7 (xc7k410tffg900-2L)


问题描述

最近在调试一块信号处理板卡,板载芯片为XILINX的7系列FPGA(xc7k410tffg900-2L)。MGT BANK的参考时钟是156.25MHz的差分时钟,是由CDCM6208时钟芯片的输出来驱动。CDCM6208配置完成后,首要的工作便是验证其产生的156.26MHz差分时钟有没有真正进入FPGA 的MGT BANK。具体验证步骤如下:

第一步:使用 原语 IBUFDS_GTE2 将MGT BANK 的差分参考时钟引入并转为同频率的单端时钟

在代码里面进行例化:

   IBUFDS_GTE2 #(.CLKCM_CFG("TRUE"),   // Refer to Transceiver User Guide.CLKRCV_TRST("TRUE"), // Refer to Transceiver User Guide.CLKSWING_CFG(2'b11)  // Refer to Transceiver User Guide)IBUFDS_GTE2_inst (.O(O_CLK_156M25),         // 输出156.25M的单端时钟.ODIV2(),                 // 该端口可闲置不用.CEB(1'b0),               // 该端口低电平输入有效 要一直给 0 .I (I_CLK_156M25_P),      // BANK 参考时钟 输入端口 P.IB(I_CLK_156M25_N)       // BANK 参考时钟 输入端口 N);

第二步:将原语输出的单端时钟送入MMCM或者PLL进行分频,供系统设计使用

问题可能就是出在这里,如果不进MMCM或者PLL,可能就不会出现错误

  clk_wiz_1 instance_name1(// Clock out ports.clk_out1(CLK_10M),     // output clk_out1// Status and control signals.reset(0), // input reset.locked(locked),       // output locked// Clock in ports.clk_in1(O_CLK_156M25));      // input clk_in1

然后再实现的过程中就会报DRC的错误,违背了设计规则(Design Rule):

[DRC PDCN-2721] IBUFDS_GT_loads_clock_region: IBUFDS_GTE2 IBUFDS_GTE2_inst drives MMCME2_ADV instance_name1/inst/mmcm_adv_inst in a different clock region and must do so using local routing resources which may negatively affect clock performance. Use CLOCK_DEDICATED_ROUTE set to FALSE to indicate this is intended.

错误的大致原因就是IBUFDS_GTE2原语出来的时钟区域和所驱动的MMCM或PLL的时钟区域不一致。注意,个人认为此处的时钟区域的概念是物理层面的时钟资源分布的区域,有别于STA(静态时序分析)的时钟域概念。

解决错误

其实在错误的最后已经给出了解决方法。需要在XDC文件里面做如下约束:

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets O_CLK_156M25]

而后就可以无误生成比特流~


以上就是对错误  [DRC PDCN-2721]  的记录,欢迎留言交流,补充~

解决思路参考官网:官方论坛

Vivado 错误代码 [DRC PDCN-2721] 解决相关推荐

  1. Vivado 错误代码 [USF-XSim-62] [XSIM 43-4316] 解决思路

    浅浅记录一下这个小问题 目录 说明 问题描述 问题解决 什么原因? 说明 VIVADO IDE :2018.3 操作系统:WIN 10 FPGA芯片系列:Virtex-7 (xc7vx690tffg1 ...

  2. 【近几天电脑经常蓝屏后重启,蓝屏时提供错误代码,本文是解决电脑蓝屏问题的记录,看到最后希望对大家有用。】

    1.网上搜索蓝屏错误代码对应的解决途径12345 错误代码:PAGE_FAULT_IN_NONPAGED_AREA 解决途径1:网址链接: win10蓝屏page fault in nonpaged ...

  3. wince蓝屏_Windows7更新补丁蓝屏错误代码6B的暂时解决方法

    Windows7更新补丁蓝屏错误代码6B的暂时解决方法 以下提供一种卸载KB3146706的方法以暂时解决此问题: 1. 开机按F8进入高级启动选项页面,选择修复计算机. 2. 选择语言后下一步. 3 ...

  4. Google地球出现“无法连接到登录服务器(错误代码:c00a0194)”解决方法

    Google地球出现"无法连接到登录服务器(错误代码:c00a0194)"解决方法 参考文章: (1)Google地球出现"无法连接到登录服务器(错误代码:c00a019 ...

  5. 2008-07-11 11:54 K3 10.3版 登录时出现 定义的应用程序或对象错误 错误代码:1726(6BEH) 的解决方法

    转载:http://hi.baidu.com/ulait/item/2c4a1ada2914ac38e3108f48 K3 10.3版 登录时出现 "定义的应用程序或对象错误" 错 ...

  6. Windows无法连接共享打印机错误代码:0x0000011b的解决方法分享

    Win10电脑1直连的打印机,设备了共享.从另一个电脑2访问电脑1的共享打印机,连接提示错误0x0000011b,这是怎么回事?我们要如何解决?下面就和小编一起来看看详细的解决方法吧. Windows ...

  7. 关于Adobe Premiere安装失败,错误代码为1的解决办法

    关于Adobe Premiere安装失败,错误代码为1的解决办法 我本身是一个java程序员,最近在空闲之余学习了一下pr,并非专业人员.在这里我想分享一下我学习的历程和过程中碰到的一些问题,为了让有 ...

  8. 美服fgo显示服务器异常,《fgo》美服错误代码合集及解决办法

    命运冠位指定fgo美服错误代码合集及解决办法 由于刚开的FGO美服游戏进度与日服一致,所以吸引不少国人前往.但登陆外服总会遇到一些阻挡你游戏的错误代码,下面请小心代码合集! 命运冠位指定fgo国服鬼哭 ...

  9. mysql服务启动报错:“服务读取描述失败,错误代码:2”的解决办法?

    mysql服务启动报错:"服务读取描述失败,错误代码:2"的解决办法? 这个问题是mysql的服务被损坏了,需要重建服务,不需要重新安装mysql,方法步骤如下 第1步:删除已有的 ...

  10. c语言蓝屏代码大全,window_Win10系统出现蓝屏提示错误代码0x00000050两种解决方法,  刚刚升级Win10系统的一段 - phpStudy...

    Win10系统出现蓝屏提示错误代码0x00000050两种解决方法 刚刚升级Win10系统的一段时间,容易出现蓝屏的问题.导致蓝屏故障的原因各不相同,我们需要根据错误代码来执行正确的解决方法.比如,最 ...

最新文章

  1. 2019年深度学习的十大预测
  2. 【Appium】Appium工作原理
  3. 项目集跟进计划_项目延期,项目经理应该如何补救?
  4. 1至m为PQ节点,m+1至n-1为PV节点,n为平衡节点
  5. Linux链接文件包括,Linux操作系统——系统各目录有什么作用、以及文件链接过程...
  6. c# 低功耗蓝牙_c# - 如何使用C#手动绑定到WinForm中的蓝牙低能耗设备? - 堆栈内存溢出...
  7. 数据结构---array
  8. 解析linux中的vfs文件系统机制,解析Linux中的VFS文件系统机制
  9. c++读取utf8文件_在MySQL中你是否使用过utf8,有没有遇到这样的bug那就要注意了...
  10. linux特殊权限SUID,SGID和SBIT的介绍
  11. Android录音采样率限制问题(十一)
  12. 2019年网络工程师考试大纲
  13. java bitset javadoc,BitSet的源码研究
  14. 干货|龙芯架构明御综合日志审计分析平台迁移技术
  15. safari显示找不到服务器,苹果自带浏览器找不到服务器是什么问题
  16. RS-232与RS485通信方式区别
  17. webpack4.0 css压缩js压缩 css 样式添加前缀
  18. 开放式运动耳机好不好用,五款最好用的骨传导耳机推荐
  19. Spring核心思想,IoC与DI详解(如果还不明白,放弃java吧)
  20. 光猫虚拟服务器无法使用,光猫怎么配置虚拟服务器

热门文章

  1. AI早教产业鄙视链,你处在哪一层?
  2. 磁力泵的结构特点及使用与维修
  3. 加密解密之 crypto-js 知识
  4. 『ACM』国家集训队论文集(最新)(1999-2017)(全)
  5. 网易云播放器外链插入html,Wordpress网易云外链音乐播放调用
  6. SCADA和三大工业控制系统PLC、DCS、FCS
  7. Matlab求解微分、积分方程
  8. 【高速PCB电路设计】1.高速PCB设计概述
  9. echarts---圆饼图
  10. google四件套之Dagger2。从入门到爱不释手,之:Dagger2基础知识及在Java中使用(1)