视频处理之浮雕效果【附源码】
欲观原文,请君移步
浮雕效果概念
浮雕 是雕塑与绘画结合的产物,靠透视等因素来表现三维空间,并只供一面或两面观看。浮雕一般是附属在另一平面上的,因此在建筑上使用更多,用具器物上也经常可以看到。浮雕在我们现实生活中处处可见,尤其是中国古代的建筑浮雕众多。浮雕既是一种刻在砖、石壁或木头上的一种雕塑。
浮雕效果是将图像的变化部分突出的表现出来,而相同的颜色部分则被淡化掉,使图像出现纵深感,从而达到浮雕的效果。
算法原理
图像处理算法原理:newpixel(i,j) = pixel(i,j)-pixel(i,j+1)+TH
i为图像高度,j为图像宽度,pixel为当前图像像素点,TH为阈值(0-255)。相当于使用当前位置的像素值减去后一个像素的像素值,然后再加上一个阈值来实现。
FPGA实现
硬件框架
输入为VESA 的1080P标准时序,使用vio来控制阈值。硬件实现框图如下。
程序设计
`timescale 1ns/1ps
module Emboss#( parameter PIXELS_BIT = 8 , parameter COLOR_CHANNEL = 3
) ( input wire clk , (*mark_debug = "true"*)input wire i_vs , (*mark_debug = "true"*)input wire i_hs , (*mark_debug = "true"*)input wire i_de , (*mark_debug = "true"*)input wire [PIXELS_BIT -1:0] i_data ,
input wire [PIXELS_BIT -1:0] Thresholds ,
(*mark_debug = "true"*)output reg o_vs , (*mark_debug = "true"*)output reg o_hs , (*mark_debug = "true"*)output reg o_de , (*mark_debug = "true"*)output reg [PIXELS_BIT*COLOR_CHANNEL-1:0] o_data );
(*mark_debug = "true"*)reg i_vs_r =1'b0; (*mark_debug = "true"*)reg i_hs_r =1'b0; (*mark_debug = "true"*)reg i_de_r =1'b0; (*mark_debug = "true"*)reg [PIXELS_BIT -1:0]i_data_r={PIXELS_BIT{1'b0}};
always @(posedge clk) begin i_vs_r<=i_vs; i_hs_r<=i_hs; i_de_r<=i_de; i_data_r<=i_data; end always @(posedge clk) begin o_vs<=i_vs_r; o_hs<=i_hs_r; o_de<=i_de_r; o_data[PIXELS_BIT*1-1:PIXELS_BIT*0]<=(i_data_r-i_data+Thresholds); o_data[PIXELS_BIT*2-1:PIXELS_BIT*1]<=(i_data_r-i_data+Thresholds); o_data[PIXELS_BIT*3-1:PIXELS_BIT*2]<=(i_data_r-i_data+Thresholds);
end
endmodule
实现结果
灰度图如下图
浮雕效果如下图
调节阈值
参考链接
https://blog.csdn.net/baidu_34971492/article/details/99680493
视频处理之浮雕效果【附源码】相关推荐
- JAVA计算机毕业设计弹幕视频网站计算机(附源码、数据库)
JAVA计算机毕业设计弹幕视频网站计算机(附源码.数据库) 项目运行 环境配置: Jdk1.8 + Tomcat8.5 + Mysql + HBuilderX(Webstorm也行)+ Eclispe ...
- 曝肝三天,两千行Python代码,制作B站视频下载工具(附源码)
曝肝三天,两千行Python代码,制作B站视频下载工具(附源码) 文章目录 一.准备工作 二.预览 1.启动 2.解析 3.下载中 4.下载完成 5.结果 三.设计流程 1.bilibili_vide ...
- 手把手教你使用Python网络爬虫获取B站视频选集内容(附源码)
点击上方"Python爬虫与数据挖掘",进行关注 回复"书籍"即可获赠Python从入门到进阶共10本电子书 今 日 鸡 汤 位卑未敢忘忧国,事定犹须待阖棺. ...
- jsp+ssm计算机毕业设计智能视频推荐网站【附源码】
项目运行 环境配置: Jdk1.8 + Tomcat7.0 + Mysql + HBuilderX(Webstorm也行)+ Eclispe(IntelliJ IDEA,Eclispe,MyEclis ...
- 【Android App】实战项目之仿抖音的短视频分享App(附源码和演示视频 超详细必看)
需要全部代码请点赞关注收藏后评论区留言私信~~~ 与传统的影视行业相比,诞生于移动互联网时代的短视频是个全新行业,它制作方便又容易传播,一出现就成为大街小巷的时髦潮流. 各行各业的人们均可通过短视频展 ...
- PyTorch搭建卷积神经网络(CNN)进行视频行为识别(附源码和数据集)
需要数据集和源码请点赞关注收藏后评论区留下QQ邮箱~~~ 一.行为识别简介 行为识别是视频理解中的一项基础任务,它可以从视频中提取语义信息,进而可以为其他任务如行为检测,行为定位等提供通用的视频表征 ...
- 基于FPGA的视频图像拼接融合(附源码)。
设计的架构通过基于特征和关键点拼接两个视频输入来生成具有更宽视野的视频. 架构经过优化,可以实时生成输出. 一.算法 下图说明了描述算法每个步骤的系统框图 该系统大致可以分为三个子系统: * 预处理 ...
- python爬取短视频,Python爬虫一键下载yy全站短视频详细步骤(附源码)
基本开发环境 Python 3.6 Pycharm 相关模块的使用 importosimport requests 安装Python并添加到环境变量,pip安装需要的相关模块即可. 一.确定目标需求 ...
- python3GUI--实用!B站视频下载工具(附源码)
文章目录 一.准备工作 二.预览 1.启动 2.解析 3.下载中 4.下载完成 5.结果 三.设计流程 1.bilibili_video_spider 2.视频json的查找 四.源代码 1.Bili ...
- Python爬虫一键下载yy全站短视频详细步骤(附源码)
基本开发环境 Python 3.6 Pycharm 相关模块的使用 import os import requests 安装Python并添加到环境变量,pip安装需要的相关模块即可. 一.确定目标需 ...
最新文章
- 的确好用!Python数据科学速查表中文版强势来袭!
- 下一代汽车的核心竞争力到底是什么?
- 关于SAP物料的历史库存
- 8.5 意境级讲解迁移学习
- C/C++深度分析(二)
- Linux 脚本开机自启的几种方法
- linux上运行java程序 jar格式
- SpringBoot和Hprose集成开发
- html5实现统计功能,基于HTML5的统计图表系统的设计与实现
- 亚马逊跨境商家会用的邮件管理软件—解孵
- 51单片机--蜂鸣器
- 通过Python计算经纬度点任意角度任意距离的经纬度点
- Win7系统盘瘦身。
- 【Java实例】王者荣耀阵容展示
- 3DMax2021建模入门篇
- SaaS后台管理系统
- 新概念英语第四册01-15课(转)
- EFR32上实现精确的软件延时
- 查看linux版本的命令
- Win10如何使用win7的照片查看器