1)实验平台:正点原子MiniPro H750开发板
2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560
3)全套实验源码+手册+视频下载地址:http://www.openedv.com/thread-336836-1-1.html
4)对正点原子STM32感兴趣的同学可以加群讨论:879133275

第二十三章 OLED显示实验

本章我们来学习使用OLED液晶显示屏,在开发板上我们预留了OLED模块接口,需要准备一个OLED显示模块。下面我们一起来点亮OLED,并实现ASCII字符的显示。
本章分为如下几个小节:
23.1 OLED简介
23.2 硬件设计
23.3 程序设计
23.4 下载验证

23.1 OLED简介

OLED,即有机发光二极管(Organic Light-Emitting Diode),又称为有机电激光显示(Organic Electroluminesence Display,OELD)。OLED由于同时具备自发光,不需背光源、对比度高、厚度薄、视角广、反应速度快、可用于挠曲性面板、使用温度范围广、构造及制程较简单等优异之特性,被认为是下一代的平面显示器新兴应用技术。
LCD都需要背光,而OLED不需要,因为它是自发光的。这样同样的显示,OLED效果要来得好一些。以目前的技术,OLED的尺寸还难以大型化,但是分辨率确可以做到很高。在本章中,我们使用的是ALINETEK的OLED显示模块,该模块有以下特点:
1)模块有单色和双色两种可选,单色为纯蓝色,而双色则为黄蓝双色。
2)尺寸小,显示尺寸为0.96寸,而模块的尺寸仅为27mm26mm大小。
3)高分辨率,该模块的分辨率为128
64。
4)多种接口方式,该模块提供了总共4种接口包括:6800、8080两种并行接口方式、4线SPI接口方式以及IIC接口方式(只需要2根线就可以控制OLED了!)。
5)不需要高压,直接接3.3V就可以工作了。
这里要提醒大家的是,该模块不和5.0V接口兼容,所以请大家在使用的时候一定要小心,别直接接到5V的系统上去,否则可能烧坏模块。以下4种模式通过模块的BS1和BS2设置,BS1和BS2的设置与模块接口模式的关系如表23.1.1所示:

                表23.1.1 OLED模块接口方式设置表

表23.1.1中:“1”代表接VCC,而“0”代表接GND。
该模块的外观图如图23.1.1所示:

图23.1.1 ALIENTEK OLED模块外观图
ALIENTEK OLED模块默认设置是:BS1和BS2接VCC ,即使用8080并口方式,如果你想要设置为其他模式,则需要在OLED的背面,用烙铁修改BS1和BS2的设置。
模块的原理图如图23.1.2所示:

图23.1.2 ALIENTEK OLED模块原理图
该模块采用8*2的2.54排针与外部连接,总共有16个管脚,在16条线中,我们只用了15条,有一个是悬空的。15条线中,电源和地线占了2条,还剩下13条信号线。在不同模式下,我们需要的信号线数量是不同的,在8080模式下,需要全部13条,而在IIC模式下,仅需要2条线就够了!这其中有一条是共同的,那就是复位线RST(RES),RST上的低电平,将导致OLED复位,在每次初始化之前,都应该复位一下OLED模块。
ALIENTEK OLED模块的控制器是SSD1306,本章,我们将学习如何通过STM32H750来控制该模块显示字符和数字,本章的实例代码将可以支持两种方式与OLED模块连接,一种是8080的并口方式,另外一种是4线SPI方式。实际使用过程我们也通常只选用其中的一种来实现硬件上的连接,我们会分别介绍这两种模式,读者可以选择性阅读。

23.1.1 硬件驱动接口模式

  1. 8080并口模式
    首先我们介绍一下模块的8080并行接口,8080并行接口的发明者是INTEL,该总线也被广泛应用于各类液晶显示器,ALIENTEK OLED模块也提供了这种接口,使得MCU可以快速的访问OLED。ALIENTEK OLED模块的8080接口方式需要如下一些信号线:
    CS:OLED片选信号。
    WR:向OLED写入数据。
    RD:从OLED读取数据。
    D[7:0]:8位双向数据线。
    RST(RES):硬复位OLED。
    DC:命令/数据标志(0,读写命令;1,读写数据)。
    模块的8080并口写的过程为:先根据要写入的数据的类型,设置DC为高(数据)/低(命令),设置WR起始电平为高,然后拉低片选,选中SSD1306,接着我们在整个读时序上保持RD为高电平,然后:
    拉低WR的电平准备写入数据,向数据线(D[7:0])上输入要写的信息;
    拉高WR,这样得到一个WR的上升沿,在这个上升沿,使数据写入到SSD1306里面;
    SSD1306的8080并口写时序图如图23.1.1.1所示:

图23.1.1.1 8080并口写时序图
模块的8080并口读的过程为:先根据要写入的数据的类型,设置DC为高(数据)/低(命令),设置RD起始电平为高,然后拉低片选CS信号,选中SSD1306,接着我们在整个读时序上保持WR为高电平,然后类似写时序,同样的:
在RD的上升沿, 使数据锁存到数据线(D[7:0])上;
SSD1306的8080并口读时序图如图23.1.1.2所示:

图23.1.1.2 8080并口读时序图
SSD1306的8080接口方式下,控制脚的信号状态所对应的功能如表23.1.1.1:

              表23.1.1.1 控制脚信号状态功能表

在8080方式下读数据操作的时候,我们有时候(例如读显存的时候)需要一个假读命(Dummy Read),以使得微控制器的操作频率和显存的操作频率相匹配。在读取真正的数据之前,由一个的假读的过程。这里的假读,其实就是第一个读到的字节丢弃不要,从第二个开始,才是我们真正要读的数据。
一个典型的读显存的时序图,如图23.1.1.3所示:

图23.1.1.3 读显存时序图
可以看到,在发送了列地址之后,开始读数据,第一个是Dummy Read,也就是假读,我们从第二个开始,才算是真正有效的数据。并行接口模式就介绍到这里。
2. SPI模式
我们的代码同时兼容SPI方式的驱动,如果你使用的是这种驱动方式,则应该把代码中的宏OLED_MODE设置为:
#define OLED_MODE 0 /* 0: 4线串行模式 */
我们接下来介绍一下4线串行(SPI)方式,4先串口模式使用的信号线有如下几条:
CS:OLED片选信号。
RST(RES):硬复位OLED。
DC:命令/数据标志(0,读写命令;1,读写数据)。
SCLK:串行时钟线。在4线串行模式下,D0信号线作为串行时钟线SCLK。
SDIN:串行数据线。在4线串行模式下,D1信号线作为串行数据线SDIN。
模块的D2需要悬空,其他引脚可以接到GND。在4线串行模式下,只能往模块写数据而不能读数据。
在4线SPI模式下,每个数据长度均为8位,在SCLK的上升沿,数据从SDIN移入到SSD1306,并且是高位在前的。DC线还是用作命令/数据的标志线。在4线SPI模式下,写操作的时序如图23.1.1.4所示:

图23.1.1.4 4线SPI写操作时序图
4线串行模式就为大家介绍到这里。其他还有几种模式,在SSD1306的数据手册上都有详细的介绍,我们把资料放到“开发板资料A盘->7,硬件资料\3,液晶资料\OLED资料\SSD1306-Revision 1.1 (Charge Pump).pdf”,如果要使用这些方式,请大家参考该手册并自行实现相应的功能代码。

23.1.2 OLED显存

接下来,我们介绍一下模块的显存,SSD1306的显存总共为128*64bit大小,SSD1306将这些显存分为了8页,不使用显存对应的行列的重映射,其对应关系如表23.1.2.1所示:

表23.1.2.1 SSD1306显存与屏幕对应关系表
可以看出,SSD1306的每页包含了128个字节,总共8页,这样刚好是128*64的点阵大小。当GRAM的写入模式为页模式时,需要设置低字节起始的列地址(0x000x0F)和高字节的起始列地址(0x100x1F),芯片手册中给出了写入GRAM与显示的对应关系,写入列地址在写完一字节后自动按列增长,如图23.1.2.2所示:

图23.1.2.2 SSD1306页2显存写入字节与屏幕坐标的关系
因为每次写入都是按字节写入的,这就存在一个问题,如果我们使用只写方式操作模块,那么,每次要写8个点,这样,我们在画点的时候,就必须把要设置的点所在的字节的每个位都搞清楚当前的状态(0/1?),否则写入的数据就会覆盖掉之前的状态,结果就是有些不需要显示的点,显示出来了,或者该显示的没有显示了。这个问题在能读的模式下,我们可以先读出来要写入的那个字节,得到当前状况,在修改了要改写的位之后再写进GRAM,这样就不会影响到之前的状况了。但是这样需要能读GRAM,对于4线SPI模式/IIC模式,模块是不支持读的,而且读改写的方式速度也比较慢。
所以我们采用的办法是在STM32H750的内部建立一个虚拟的OLED的GRAM(共128*8=1024个字节),在每次修改的时候,只是修改STM32H750上的GRAM(实际上就是SRAM),在修改完了之后,一次性把STM3F103上的GRAM写入到OLED的GRAM。当然这个方法也有坏处,一个是对于那些SRAM很小的单片机(比如51系列)不太友好,另一个是每次都写入全屏,屏幕刷新率会变低。
SSD1306的命令比较多,这里我们仅介绍几个比较常用的命令,这些命令如下表所示:

表23.1.2.3 SSD1306常用命令表
第0个命令为0X81,用于设置对比度的,这个命令包含了两个字节,第一个0X81为命令,随后发送的一个字节为要设置的对比度的值。这个值设置得越大屏幕就越亮。
第1个命令为0XAE/0XAF。0XAE为关闭显示命令;0XAF为开启显示命令。
第2个命令为0X8D,该指令也包含2个字节,第一个为命令字,第二个为设置值,第二个字节的BIT2表示电荷泵的开关状态,该位为1,则开启电荷泵,为0则关闭。在模块初始化的时候,这个必须要开启,否则是看不到屏幕显示的。
第3个命令为0XB0~B7,该命令用于设置页地址,其低三位的值对应着GRAM的页地址。
第4个指令为0X00~0X0F,该指令用于设置显示时的起始列地址低四位。
第6个指令为0X10~0X1F,该指令用于设置显示时的起始列地址高四位。
其他命令,我们就不在这里一一介绍了,大家可以参考SSD1306 datasheet的第28页。从这页开始,对SSD1306的指令有详细的介绍。
最后,我们再来介绍一下OLED模块的初始化过程,SSD1306的典型初始化框图如图23.1.2.4所示:

图23.1.2.4 SSD1306初始化框图
驱动IC的初始化代码,我们直接使用厂家推荐的设置就可以了,只要对细节部分进行一些修改,使其满足我们自己的要求即可,其他不需要变动。
OLED的介绍就到此为止,我们重点向大家介绍了ALIENTEK OLED模块的相关知识,接下来我们将使用这个模块来显示字符和数字。通过以上介绍,我们可以得出OLED显示需要的相关设置步骤如下:
1)设置STM32F103与OLED模块相连接的IO。
这一步,先将我们与OLED模块相连的IO口设置为输出,具体使用哪些IO口,这里需要根据连接电路以及OLED模块所设置的通讯模式来确定。这些将在硬件设计部分向大家介绍。
2)初始化OLED模块。
其实这里就是上面的初始化框图的内容,通过对OLED相关寄存器的初始化,来启动OLED的显示。为后续显示字符和数字做准备。
3)通过函数将字符和数字显示到OLED模块上。
这里就是通过我们设计的程序,将要显示的字符送到OLED模块就可以了,这些函数将在软件设计部分向大家介绍。
通过以上三步,我们就可以使用ALIENTEK OLED模块来显示字符和数字了,在后面我们还将会给大家介绍显示汉字的方法。这一部分就先介绍到这里。

23.2 硬件设计

  1. 例程功能
    使用8080并口模式驱动或者使用4线SPI串口模式,驱动OLED模块,不停的显示ASCII码和码值。LED0闪烁,提示程序运行。
  2. 硬件资源
    1)RGB灯
    RED : LED0 - PB4
    2)ALIENTEK 0.96寸OLED模块,在硬件上,OLED与开发板的IO口对应关系如下:
    OLED_CS对应DCMI_VSYNC,即:PB7;
    OLED_RS对应DCMI_SCL,即:PB10;
    OLED_WR对应DCMI_HREF,即:PA4;
    OLED_RD对应DCMI_SDA,即:PB11;
    OLED_RST对应DCMI_RESET,即:PA7;
    OLED_D[7:0]对应DCMI_D[7:0],即:PB9/PB8/PD3/PC11/PC9/PC8/PC7/PC6;
  3. 原理图
    OLED模块的原理图在前面已有详细说明了,这里我们介绍OLED模块与我们开发板的连接,开发板上有一个OLED/CAMERA的接口(P2接口)可以和ALIENTEK OLED模块直接对插(靠左插!),连接如图23.2.1所示:

图23.2.1 OLED模块与开发板连接示意图
这些线的连接,开发板的内部已经连接好了,我们只需要将OLED模块插上去就好了,注意,这里的OLED_D[7:0]因为不是接的连续的IO,所以得用拼凑的方式去组合一下,后续会介绍。
23.3 程序设计
OLED只是用到HAL库中GPIO外设的驱动代码,在前面跑马灯实验已经介绍了。
23.3.1 程序流程图

图23.3.1.1 OLED实验程序流程图
23.3.2 程序解析

  1. OLED驱动代码
    这里我们只讲解核心代码,详细的源码请大家参考光盘本实验对应源码。OLED驱动源码包括三个文件:oled.c、oled.h和oledfont.h。oledfont.h头文件存放的是ASCII字符集,oled.h存放的是引脚接口宏定义和函数声明等,oled.c则是驱动代码。
    首先看oledfont.h头文件的ASCII字符集内容:
/* 常用ASCII表* 偏移量32 * ASCII字符集: !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]
^_`abcdefghijklmnopqrstuvwxyz{|}~* PC2LCD2002取模方式设置:阴码+逐列式+顺向+C51格式* 总共:3个字符集(12*12、16*16和24*24),用户可以自行新增其他分辨率的字符集。* 每个字符所占用的字节数为:(size/8+((size%8)?1:0))*(size/2),
其中size:是字库生成时的点阵大小(12/16/24...)*//* 12*12 ASCII字符集点阵 */
const unsigned char oled_asc2_1206[95][12]={ ...这里省略字符集库... }; /* 16*16 ASCII字符集点阵 */
const unsigned char oled_asc2_1608[95][16]={ ...这里省略字符集库... }; /* 24*24 ASICII字符集点阵 */
const unsigned char oled_asc2_2412[95][36]={ ...这里省略字符集库... };

该头文件中包含三个大小不同的ASCII字符集点阵,其中包括:1212 ASCII字符集点阵、1616 ASCII字符集点阵、2424 ASICII字符集点阵。每个字符集点阵都包含95个常用的ASCII字符集,从空格符开始,分别为: !"#$%&'()+,-0123456789:;<=>?@ABCDEFGHIJKLMNOPQR
STUVWXYZ[]^_`abcdefghijklmnopqrstuvwxyz{|}~。
上面的ASCII字符集,我们可以使用一个款很好的字符提取软件来制作获取。字符提取软件为:PCtoLCD2002完美版,该软件可以提供各种字符,包括汉字(字体和大小都可以自己设置)阵提取,且取模方式可以设置好几种,常用的取模方式,该软件都支持。该软件还支持图形模式,也就是用户可以自己定义图片的大小,然后画图,根据所画的图形再生成点阵数据,这功能在制作图标或图片的时候很有用。
该软件的界面如图23.3.2.1所示:

图23.3.2.1 PCtoLCD2002软件界面
然后我们选择设置,在设置里面设置取模方式如图23.3.2.2所示:

图23.3.2.2 设置取模方式
上图设置的取模方式,在右上角的取模说明里面有,即:从第一列开始向下每取8个点作为一个字节,如果最后不足8个点就补满8位。取模顺序是从高到低,即第一个点作为最高位。如*-------取为10000000。其实就是按如图23.3.2.3所示的这种方式:

图23.3.2.3 取模方式图解
从上到下,从左到右,高位在前。我们按这样的取模方式,然后把ASCII字符集按126大小、168和2412大小取模出来(对应汉字大小为1212、1616和2424,字符的只有汉字的一半大!),每个126的字符占用12个字节,每个168的字符占用16个字节,每个24*12的字符占用36个字节。
oled.c和oled.h文件的代码可以帮助显示我们制作好的字符集。我们还是先看oled.h文件的宏定义,首先是OLED模式设置宏定义:

/* OLED模式设置* 0: 4线串行模式   (模块的BS1,BS2均接GND)* 1: 并行8080模式     (模块的BS1,BS2均接VCC)*/
#define OLED_MODE       1   /* 默认使用8080并口模式 */
通过宏定义OLED_MODE来决定使用4线串行模式(0)还是并行8080模式(1),默认使用8080并口模式。
关于OLED 80并口模式和SPI模式的引脚定义就不列出来了,请看源码。
还有两个关于向OLED写入选择命令或者数据的宏定义,后面讲的oled_wr_byte函数用到。
/* 命令/数据 定义 */
#define OLED_CMD        0       /* 写命令 */
#define OLED_DATA       1       /* 写数据 */
最后就是oled.c文件的驱动源码介绍。先是OLED(SSD1306)的初始化函数,其定义如下:
/*** @brief       初始化OLED(SSD1306)* @param       无* @retval      无*/
void oled_init(void)
{GPIO_InitTypeDef  gpio_init_struct;__HAL_RCC_GPIOA_CLK_ENABLE();__HAL_RCC_GPIOB_CLK_ENABLE();__HAL_RCC_GPIOC_CLK_ENABLE();__HAL_RCC_GPIOD_CLK_ENABLE();#if OLED_MODE==1         /* 使用8080并口模式 */
/* PA4,6,7,8设置 */gpio_init_struct.Pin=GPIO_PIN_4|GPIO_PIN_6|GPIO_PIN_7|GPIO_PIN_8;gpio_init_struct.Mode=GPIO_MODE_OUTPUT_PP;              /* 推挽输出 */gpio_init_struct.Pull=GPIO_PULLUP;                       /* 上拉 */gpio_init_struct.Speed=GPIO_SPEED_FREQ_VERY_HIGH;      /* 高速 */HAL_GPIO_Init(GPIOA,&gpio_init_struct);gpio_init_struct.Pin=GPIO_PIN_7|GPIO_PIN_8|GPIO_PIN_9|GPIO_PIN_10
|GPIO_PIN_11;       /* PB7,8,9,10,11设置 */HAL_GPIO_Init(GPIOB,&gpio_init_struct);gpio_init_struct.Pin=GPIO_PIN_4|GPIO_PIN_6|GPIO_PIN_7|GPIO_PIN_8
|GPIO_PIN_9|GPIO_PIN_11; /* PC4,6~9,PC11设置 */HAL_GPIO_Init(GPIOC,&gpio_init_struct);gpio_init_struct.Pin=GPIO_PIN_3;                 /* PD3 设置 */HAL_GPIO_Init(GPIOD,&gpio_init_struct);OLED_WR(1);OLED_RD(1);#else               /* 使用4线SPI 串口模式 */gpio_init_struct.Pin=OLED_SPI_RST_PIN;gpio_init_struct.Mode=GPIO_MODE_OUTPUT_PP;                 /* 推挽输出 */gpio_init_struct.Pull=GPIO_PULLUP;                           /* 上拉 */gpio_init_struct.Speed=GPIO_SPEED_FREQ_VERY_HIGH;          /* 高速 */HAL_GPIO_Init(OLED_SPI_RST_PORT,&gpio_init_struct);     /* RST引脚模式设置 */gpio_init_struct.Pin=OLED_SPI_CS_PIN;HAL_GPIO_Init(OLED_SPI_CS_PORT,&gpio_init_struct);         /* CS引脚模式设置 */gpio_init_struct.Pin=OLED_SPI_RS_PIN;HAL_GPIO_Init(OLED_SPI_RS_PORT,&gpio_init_struct);      /* RS引脚模式设置 */gpio_init_struct.Pin=OLED_SPI_SCLK_PIN;HAL_GPIO_Init(OLED_SPI_SCLK_PORT,&gpio_init_struct);      /* SCLK引脚模式设置 */gpio_init_struct.Pin=OLED_SPI_SDIN_PIN;HAL_GPIO_Init(OLED_SPI_SDIN_PORT,&gpio_init_struct);    /* SDIN引脚模式设置 */OLED_SDIN(1);OLED_SCLK(1);
#endifOLED_CS(1);OLED_RS(1);OLED_RST(0);delay_ms(100);OLED_RST(1);oled_wr_byte(0xAE, OLED_CMD);   /* 关闭显示 */oled_wr_byte(0xD5, OLED_CMD);   /* 设置时钟分频因子,震荡频率 */oled_wr_byte(80, OLED_CMD);      /* [3:0],分频因子;[7:4],震荡频率 */oled_wr_byte(0xA8, OLED_CMD);   /* 设置驱动路数 */oled_wr_byte(0X3F, OLED_CMD);   /* 默认0X3F(1/64) */oled_wr_byte(0xD3, OLED_CMD);   /* 设置显示偏移 */oled_wr_byte(0X00, OLED_CMD);   /* 默认为0 */oled_wr_byte(0x40, OLED_CMD);   /* 设置显示开始行 [5:0],行数. */oled_wr_byte(0x8D, OLED_CMD);   /* 电荷泵设置 */oled_wr_byte(0x14, OLED_CMD);   /* bit2,开启/关闭 */
oled_wr_byte(0x20, OLED_CMD);   /* 设置内存地址模式 */
/* [1:0],00,列地址模式;01,行地址模式;10,页地址模式;默认10; */oled_wr_byte(0x02, OLED_CMD);   oled_wr_byte(0xA1, OLED_CMD);   /* 段重定义设置,bit0:0,0->0;1,0->127; */
/* 设置COM扫描方向;bit3:0,普通模式;1,重定义模式 COM[N-1]->COM0;N:驱动路数 */   oled_wr_byte(0xC8, OLED_CMD);   oled_wr_byte(0xDA, OLED_CMD);   /* 设置COM硬件引脚配置 */oled_wr_byte(0x12, OLED_CMD);   /* [5:4]配置 */oled_wr_byte(0x81, OLED_CMD);   /* 对比度设置 */oled_wr_byte(0xEF, OLED_CMD);   /* 1~255;默认0X7F (亮度设置,越大越亮) */oled_wr_byte(0xD9, OLED_CMD);   /* 设置预充电周期 */oled_wr_byte(0xf1, OLED_CMD);   /* [3:0],PHASE 1;[7:4],PHASE 2; */
oled_wr_byte(0xDB, OLED_CMD);   /* 设置VCOMH 电压倍率 */
/* [6:4] 000,0.65*vcc;001,0.77*vcc;011,0.83*vcc; */oled_wr_byte(0x30, OLED_CMD);   oled_wr_byte(0xA4, OLED_CMD);   /* 全局显示开启;bit0:1,开启;0,关闭;(白屏/黑屏) */oled_wr_byte(0xA6, OLED_CMD);   /* 设置显示方式;bit0:1,反相显示;0,正常显示 */oled_wr_byte(0xAF, OLED_CMD);   /* 开启显示 */oled_clear();
}

该函数的结构比较简单,开始是对GPIO口的初始化,这里我们用了宏定义OLED_MODE来决定要设置的IO口,后面的就是一些初始化序列了,我们按照厂家提供的资料来做就可以。值得注意一点的是,因为OLED是无背光的,在初始化之后,我们把显存都清空了,所以我们在屏幕上是看不到任何内容的,就像没通电一样,不要以为这就是初始化失败,要写入数据模块才会显示的。
接着,要介绍的是oled_refresh_gram更新显存到OLED函数,该函数的作用是把我们在程序中定义的二维数组g_oled_gram的值一次性刷新到OLED的显存GRAM中。我们在oled.c文件开头定义了如下一个二维数组:

/* * OLED的显存* 每个字节表示8个像素, 128,表示有128列, 8表示有64行, 高位表示高行数. * 比如:g_oled_gram[0][0],包含了第一列,第1~8行的数据. g_oled_gram[0][0].0,即表示坐标(0,0)* 类似的: g_oled_gram[1][0].1,表示坐标(1,1), g_oled_gram[10][1].2,表示坐标(10,10), * * 存放格式如下(高位表示高行数).* [0]0 1 2 3 ... 127* [1]0 1 2 3 ... 127* [2]0 1 2 3 ... 127* [3]0 1 2 3 ... 127* [4]0 1 2 3 ... 127* [5]0 1 2 3 ... 127* [6]0 1 2 3 ... 127* [7]0 1 2 3 ... 127*/
static uint8_t g_oled_gram[128][8];

该数组值与OLED显存GRAM值一一对应。在操作的时候我们只需要先修改该数组的值,然后再通过调用oled_refresh_gram函数把数组的值一次性刷新到OLED 的GRAM上即可。oled_refresh_gram函数定义如下:

/*** @brief         更新显存到OLED* @param          无* @retval         无*/
void oled_refresh_gram(void)
{uint8_t i, n;for (i = 0; i < 8; i++){oled_wr_byte (0xb0 + i, OLED_CMD); /* 设置页地址(0~7) */oled_wr_byte (0x00, OLED_CMD);      /* 设置显示位置—列低地址 */oled_wr_byte (0x10, OLED_CMD);      /* 设置显示位置—列高地址 */for (n = 0; n < 128; n++){oled_wr_byte(g_oled_gram[n][i], OLED_DATA);}}
}

oled_refresh_gram函数先设置页地址,然后写入列地址(也就是纵坐标),然后从0开始写入128个字节,写满该页,最后循环把8页的内容都写入,就实现了整个从STM32显存到OLED显存的拷贝。
oled_refresh_gram函数还调用了oled_wr_byte这个函数,也就是我们接着要介绍的函数:该函数和硬件相关,8080并口模式下该函数定义如下:

/*** @brief         向OLED写入一个字节* @param        data: 要输出的数据* @param           cmd: 数据/命令标志 0,表示命令;1,表示数据;* @retval       无*/
static void oled_wr_byte(uint8_t data, uint8_t cmd)
{oled_data_out(data);OLED_RS(cmd);OLED_CS(0);OLED_WR(0);OLED_WR(1);OLED_CS(1);OLED_RS(1);
}
8080并口模式下的oled_wr_byte函数还调用oled_data_out函数,其定义如下:
/*** @brief        通过拼凑的方法向OLED输出一个8位数据* @param           data: 要输出的数据* @retval          无*/
static void oled_data_out(uint8_t data)
{uint16_t dat = data & 0X0F;GPIOC->ODR &= ~(0XF << 6);       /* 清空6~9 */GPIOC->ODR |= dat << 6;            /* D[3:0]-->PC[9:6] */GPIOC->ODR &= ~(0X1 << 11);      /* 清空11 */GPIOC->ODR |= ((data >> 4) & 0x01) << 11; /* D4 */GPIOD->ODR &= ~(0X1 << 3);                    /* 清空3 */GPIOD->ODR |= ((data >> 5) & 0x01) << 3;   /* D5 */GPIOB->ODR &= ~(0X3<<8);                      /* 清空8,9 */GPIOB->ODR |= ((data >> 6) & 0x01) << 8;     /* D6 */GPIOB->ODR |= ((data >> 7) & 0x01) << 9;    /* D7 */
}

oled_data_out函数的处理方法,就是我们前面说的,因为OLED的D0~D7不是接的连续IO,所以必须将数据,拆分到各个IO,以实现一次完整的数据传输,该函数就是根据我们OLED_D[7:0]具体连接的IO,对数据进行拆分,然后输出给对应位的各个IO,实现并口数据输出。这种方式会降低并口速度,但是我们OLED模块,是单色的,数据量不是很大,所以这种方式也不会造成视觉上的影响,大家可以放心使用,但是如果是TFTLCD,就不推荐了。
SPI模式下该函数定义如下:

/*** @brief         向OLED写入一个字节* @param        data: 要输出的数据* @param           cmd: 数据/命令标志 0,表示命令;1,表示数据;* @retval       无*/
static void oled_wr_byte(uint8_t data, uint8_t cmd)
{uint8_t i;OLED_RS(cmd);   /* 写命令 */OLED_CS(0);for (i = 0; i < 8; i++){OLED_SCLK(0);if (data & 0x80){OLED_SDIN(1);}else {OLED_SDIN(0);}OLED_SCLK(1);data <<= 1;}OLED_CS(1);OLED_RS(1);
}

两种模式下的oled_wr_byte函数形参是一样的。第一个形参data就是要写的内容。第二个形参cmd是通过选用OLED_CMD和OLED_DATA两个宏定义的其中一个,控制选择写命令还是写数据。两种模式下的oled_wr_byte函数的时序操作就是根据上面我们对8080接口以及4线SPI接口的时序来编写的。
g_oled_gram [128][8]二维数组中的128代表列数(x坐标),而8代表的是页,每页又包含8行,总共64行(y坐标),从高到低对应行数从小到大,如表23.3.2.1所示:

表23.3.2.1 OLED_GRAM和OLED屏坐标对应关系
上表中G代表OLED_GRAM,G[0][0]就表示OLED_GRAM[0][0]。比如,我们要在x=3,y=9这个点写入1,则可以用这个句子实现:
OLED_GRAM[3][1]|=1<<1;
一个通用的在点(x,y)置1表达式为:
OLED_GRAM[x][y/8]|=1<<(y%8);
其中x的范围为:0127;y的范围为:063。
因此,我们可以得出接下来介绍的这个比较重要的函数:OLED画点函数,其定义如下:

/*** @brief       OLED画点 * @param       x  : 0~127* @param       y  : 0~63* @param       dot: 1 填充 0,清空* @retval      无*/
void oled_draw_point(uint8_t x, uint8_t y, uint8_t dot)
{uint8_t pos, bx, temp = 0;if (x > 127 || y > 63) return;  /* 超出范围了 */ pos = y / 8;     /* 计算GRAM里面的y坐标所在的字节, 每个字节可以存储8个行坐标 */bx = y % 8;      /* 取余数,方便计算y在对应字节里面的位置,及行(y)位置 */temp = 1 << bx;     /* 高位表示高行号, 得到y对应的bit位置,将该bit先置1 */if (dot)    /* 画实心点 */{g_oled_gram[x][pos] |= temp;}else        /* 画空点,即不显示 */{g_oled_gram[x][pos] &= ~temp;}
}

该函数有3个形参,前两个是横纵坐标,第三个为要写入1还是0。该函数实现了我们在OLED模块上任意位置画点的功能。
前面我们知道取模方式是:从上到下,从左到右,高位在前。下面根据取模的方式来编写显示字符oled_show_char函数,其定义如下:

/*** @brief       在指定位置显示一个字符,包括部分字符 * @param       x   : 0~127* @param       y   : 0~63* @param       size: 选择字体 12/16/24* @param       mode: 0,反白显示;1,正常显示* @retval      无*/
void oled_show_char(uint8_t x,uint8_t y,uint8_t chr,uint8_t size,uint8_t mode)
{uint8_t temp, t, t1;uint8_t y0 = y;
uint8_t *pfont = 0;
/* 得到字体一个字符对应点阵集所占的字节数 */uint8_t csize = (size / 8 + ((size % 8) ? 1 : 0)) * (size / 2); chr = chr - ' ';      /* 得到偏移后的值,因为字库是从空格开始存储的,第一个字符是空格 */if (size == 12)       /* 调用1206字体 */{pfont = (uint8_t *)oled_asc2_1206[chr];        }else if (size == 16) /* 调用1608字体 */ {pfont = (uint8_t *)oled_asc2_1608[chr];}else if (size == 24) /* 调用2412字体 */{pfont = (uint8_t *)oled_asc2_2412[chr];}else                    /* 没有的字库 */{return;   }for (t = 0; t < csize; t++){ temp = pfont[t];for (t1 = 0; t1 < 8; t1++){if (temp & 0x80)oled_draw_point(x, y, mode);else oled_draw_point(x, y, !mode);temp <<= 1;y++;if ((y - y0) == size){y = y0;x++;break;}}}
}

该函数为字符以及字符串显示的核心部分,函数中chr = chr - ’ ';这句是要得到在字符点阵数据里面的实际地址,因为我们的取模是从空格键开始的,例如oled_asc2_1206 [0][0],代表的是空格符开始的点阵码。在接下来的代码,我们也是按照从上到小(先y++),从左到右(再x++)的取模方式来编写的,先得到最高位,然后判断是写1还是0,画点;接着读第二位,如此循环,直到一个字符的点阵全部取完为止。这其中涉及到列地址和行地址的自增,根据取模方式来理解,就不难了。
oled.c的内容比较多,其他的函数请大家自行理解,下面开始main.c文件的介绍。
2. main.c代码
在main.c里面编写如下代码:

int main(void)
{uint8_t t = 0;sys_cache_enable();                         /* 打开L1-Cache */HAL_Init();                                 /* 初始化HAL库 */sys_stm32_clock_init(240, 2, 2, 4);    /* 设置时钟, 480Mhz */delay_init(480);                          /* 延时初始化 */usart_init(115200);                      /* 串口初始化为115200 */led_init();                                   /* 初始化LED */oled_init();                                /* 初始化OLED */oled_show_string(0, 0, "ALIENTEK", 24);oled_show_string(0, 24, "0.96' OLED TEST", 16);oled_show_string(0, 40, "ATOM 2020/3/22", 12);oled_show_string(0, 52, "ASCII:", 12);oled_show_string(64, 52, "CODE:", 12);oled_refresh_gram();    /* 更新显示到OLED */
t = ' '; while (1){oled_show_char(36, 52, t, 12, 1); /* 显示ASCII字符 */oled_show_num(94, 52, t, 3, 12);     /* 显示ASCII字符的码值 */oled_refresh_gram();                  /* 更新显示到OLED */t++;if (t > '~')t = ' ';delay_ms(500);LED0_TOGGLE();  /* LED0闪烁 */}
}

Main.c主要功能就是在OLED上显示一些实验信息字符,然后开始从空格键开始不停的循环显示ASCII字符集,并显示该字符的ASCII值。最后LED0闪烁提示程序正在运行。
23.4 下载验证
下载代码后,LED0不停的闪烁,提示程序已经在运行了。同时OLED模块显示ASCII字符集等信息,如图23.4.1所示:

图23.4.1 OLED显示效果
OLED显示了三种尺寸的字符:2412(ALIENTEK)、168(0.96’ OLED TEST)和12*6(剩下的内容)。说明我们的实验是成功的,实现了三种不同尺寸ASCII字符的显示,在最后一行不停的显示ASCII字符以及其码值。
通过这一章的学习,我们学会了ALIENTEK OLED模块的使用,在调试代码的时候,又多了一种显示信息的途径,在以后的程序编写中,大家可以好好利用。

【正点原子STM32连载】第二十三章 OLED显示实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1相关推荐

  1. 【正点原子FPGA连载】第二十三章 DDS信号发生器实验摘自【正点原子】DFZU2EG/4EV MPSoC 之FPGA开发指南V1.0

    1)实验平台:正点原子MPSoC开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=692450874670 3)全套实验源码+手册+视频下载地址: h ...

  2. fastreport masterdata每页都显示_ALIENTEK 阿波罗 STM32F767 开发板资料连载十六章 OLED 显示实验...

    1)实验平台:alientek 阿波罗 STM32F767 开发板2)摘自<STM32F7 开发指南(HAL 库版)>关注官方微信号公众号,获取更多资料:正点原子 http://weixi ...

  3. 【正点原子STM32连载】第五十三章 DSP测试实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  4. 【正点原子STM32连载】第二十一章 通用定时器实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  5. 【正点原子STM32连载】第四十七章 汉字显示实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  6. 【正点原子STM32连载】第四章 STM32初体验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  7. 【正点原子STM32连载】第四十五章 SD卡实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  8. 【正点原子STM32连载】第六章 新建寄存器版本MDK工程 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

  9. 【正点原子STM32连载】第十四章 蜂鸣器实验 摘自【正点原子】MiniPro STM32H750 开发指南_V1.1

    1)实验平台:正点原子MiniPro H750开发板 2)平台购买地址:https://detail.tmall.com/item.htm?id=677017430560 3)全套实验源码+手册+视频 ...

最新文章

  1. C++/C++11中左值、左值引用、右值、右值引用的使用
  2. 历史回顾,各种编程工具的应用与我的发展历程之二(VB)
  3. IE自动在后台运行,不知道是什么病毒?
  4. js中text方法是啥意识_一盏茶的时间,快速捕获JS中常用的方法(细心整理,持续更新ing)...
  5. QQ2007退出市场
  6. .net下模拟不同身份登陆以获取不同权限
  7. servlet中doPost()和doGet()
  8. input time设置24小时制_python-time、datetimme模块
  9. [Java] 蓝桥杯BASIC-13 基础练习 数列排序
  10. Linux查看当前系统的版本信息
  11. Win2012 R2 IIS8.5+PHP(FastCGI)+MySQL运行环境搭建教程
  12. 最大乘积java_在Java中查找负数的最大乘积
  13. 财务管理与计算机论文,计算机小论文--浅论计算机与财务管理.doc
  14. php调用手写板,在Linux操作系统中使用手写板
  15. Matconvnet学习笔记
  16. Python中国象棋源代码及素材
  17. 看完Jeff Dean新论文,我再也不相信大厂的鬼话了
  18. 有关H5第六章的背景与阴影介绍
  19. 笔记连载 | Day15【按键检测、按键消抖】 【原理及verilog仿真】篇
  20. c++ 运行出现0x0000007 错误解决总结

热门文章

  1. hadoop fs -ls后出现No such file or directory解决
  2. STC51入门笔记(郭天祥C语言)---第三节:数码管显示原理及应用实现
  3. Socket断线重连
  4. vlookup 2张表 显示na_12个最新Vlookup函数示例大全,再不收藏就是你的错了!
  5. sql乘法函数实现方式
  6. Jupyter Notebook中的Markdown单元格(1)段落-标题-分隔线
  7. ffmpeg播放器实现详解 - 音频同步控制
  8. java tostring 父类_Java之toString方法
  9. QQ网页浮动客服代码
  10. iOS开发 AudioUnit+AUGraph实现录音耳返功能