4选1数据选择器verilog代码

module mux4_1(out, in0, in1, in2, in3, sel);
output out;
input in0, in1, in2, in3;
input[1:0] sel;
reg out; always @(in0 or in1 or in2 or in3 or sel) //敏感信号列表 case(sel) 2'b00: out=in0; 2'b01: out=in1; 2'b10: out=in2; 2'b11: out=in3; default: out=2'bx; endcase
endmodule

4选1数据选择器testbench代码

'timescale 1ns/1psmodule mux_tb;reg in0,in1,in2,in3;reg[1:0] sel;wire out;integer i;integer j;mux4_1 tb(.in0(in0),.in1(in1),.in2(in2),.in3(in3),.sel(sel),.out(out));initial beginfor(i = 0;i < 5;i++) begincase(i)0: sel = 2'b00;1: sel = 2'b01;2: sel = 2'b10;3: sel = 2'b11;endcasefor(j = 0;j < 16;j++) begincase(j)0:#10 in0 = 1'b0; in1 = 1'b0; in2 = 1'b0; in3 = 1'b0;1:#10 in0 = 1'b0; in1 = 1'b0; in2 = 1'b0; in3 = 1'b1;2:#10 in0 = 1'b0; in1 = 1'b0; in2 = 1'b1; in3 = 1'b0;3:#10 in0 = 1'b0; in1 = 1'b0; in2 = 1'b1; in3 = 1'b1;4:#10 in0 = 1'b0; in1 = 1'b1; in2 = 1'b0; in3 = 1'b0;5:#10 in0 = 1'b0; in1 = 1'b1; in2 = 1'b0; in3 = 1'b1;6:#10 in0 = 1'b0; in1 = 1'b1; in2 = 1'b1; in3 = 1'b0;7:#10 in0 = 1'b0; in1 = 1'b1; in2 = 1'b1; in3 = 1'b1;8:#10 in0 = 1'b1; in1 = 1'b0; in2 = 1'b0; in3 = 1'b0;9:#10 in0 = 1'b1; in1 = 1'b0; in2 = 1'b0; in3 = 1'b1;10:#10 in0 = 1'b1; in1 = 1'b0; in2 = 1'b1; in3 = 1'b0;11:#10 in0 = 1'b1; in1 = 1'b0; in2 = 1'b1; in3 = 1'b1;12:#10 in0 = 1'b1; in1 = 1'b1; in2 = 1'b0; in3 = 1'b0;13:#10 in0 = 1'b1; in1 = 1'b1; in2 = 1'b0; in3 = 1'b1;14:#10 in0 = 1'b1; in1 = 1'b1; in2 = 1'b1; in3 = 1'b0;15:#10 in0 = 1'b1; in1 = 1'b1; in2 = 1'b1; in3 = 1'b1;endcaseendendendendmodule

备注
这些代码全部是初学者第一次编写,可能存在错误,谨慎参考。

4选1数据选择器 testbench代码编写相关推荐

  1. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  2. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  3. # Quartusll采用IF设计二选一数据选择器及仿真

    Quartusll采用IF设计二选一数据选择器及仿真 软件Quartusll9.1 没有软件的小可爱先来领取资源哈~~(9版本以后就不自带仿真) 链接:https://pan.baidu.com/s/ ...

  4. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  5. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  6. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

  7. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  8. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  9. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

  10. VHDL实验一:2选1数据选择器(绘制原理图)

    一.实验要求 2选1数据选择器 输入信号:两个数据源a和b,选择端s.输出信号:选择输出端y.利用选择端s对输出端进行控制.达到2选1数据选择器的效果. 二.实验内容 1.二选一数据选择器的原理图: ...

最新文章

  1. Go语言学习笔记 - PART11 - 面向对象
  2. POJ-2771 Guardian of Decency 最大独立子集
  3. 从菜鸟到老司机,数据科学的17个必用数据集推荐
  4. 使用OpenLDAP作为身份验证源
  5. c# 插入树形数据#_C#数据类型能力问题 套装1
  6. 2021年11月国产数据库排行榜:openGauss闯入前三,Kingbase流行度与日俱增,TDengine厚积薄发
  7. HDU2049 不容易系列之(4)——考新郎【递推】
  8. 对比iOS中的四种数据存储
  9. binlog的基本介绍和操作
  10. 使用Linq作为rdlc报表的数据源
  11. memcache、redis
  12. 语音助手的涅槃关头,我们应该完全抛弃屏幕还是选择“语音+图形界面”?
  13. MAC打开outlook提示”正在修复 Main Profile“
  14. 邮箱怎么群发你知道吗?邮件群发效果较好的邮箱有哪些
  15. 三菱凌云3故障代码_上海三菱凌云2故障代码
  16. linux 指令熟悉
  17. 活动回顾|Apache Doris 向量化技术实现与后续规划
  18. [MRCTF2020]天干地支+甲子
  19. 香油和一个生鸡蛋,干咳偏方
  20. 西门子博图指令(计数器操作)

热门文章

  1. NVIDIA 控制面板闪退问题解决
  2. 地统计学中的基台值问题
  3. 程序员的 10 款代码表白特效,一个比一个浪漫
  4. CodeProject上的一些摘抄
  5. java实例是什么_java 什么是实例
  6. Kepware与C#
  7. 非参数统计之局部多项式回归
  8. E-prime 鼠标点击选择
  9. 网络虚拟信用卡如何申请?Yandex万事达虚拟信用卡申请教程
  10. 如何在IDEA上创建一个JSP项目【亲测有效】