(1)实验任务

使用触摸按键控制LED灯亮灭,开发板上电后LED为点亮状态,手指触摸后LED熄灭,再次触摸,LED点亮

(2)硬件设计

引脚分配

当OP2拉低时,触摸IC工作在同步模式(类似于非自锁的轻触按键),即触摸时输出有效电平,松开后无有效电平输出;OP2拉高时触摸IC工作在保持模式(类似于自锁按键),即检测到触摸操作后输出有效电平,松开后,输出电平保持不变。当再次检到触摸操作时,输出电平变化并继续保持。

(3)程序设计

信号连接图

代码设计:

module touch_led(input sys_clk,input sys_rst_n,input touch_key,output reg led
);reg touch_key_d0;
reg touch_key_d1;wire touch_en;
//根据按键信号的上升沿判断按下了按键
assign touch_en = (~touch_key_d1)&touch_key_d0;
//对触摸按键端口接收的数据延迟两个周期
always @(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)begintouch_key_d0 <= 1'b0;touch_key_d1 <= 1'b0;endelse begintouch_key_d0 <= touch_key;touch_key_d1 <= touch_key_d0;end
end
//根据上升沿使能信号切换led状态
always @(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)led <= 1'b0;else if(touch_en)led <= ~led;
end
endmodule

最为关键的就是上升沿的检测:

//根据按键信号的上升沿判断按下了按键
assign touch_en = (~touch_key_d1)&touch_key_d0;
//对触摸按键端口接收的数据延迟两个周期
always @(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)begintouch_key_d0 <= 1'b0;touch_key_d1 <= 1'b0;endelse begintouch_key_d0 <= touch_key;touch_key_d1 <= touch_key_d0;end
end

(4)下载验证

FPGA-04 触摸按键控制LED灯相关推荐

  1. FPGA之触摸按键控制LED灯实验

    一.背景介绍 简介:触摸按键在稳定性.使用寿命.抗干扰能力等方面都优于传统的机械按键,被广泛应用于遥控器,便携式电子设备,楼道开关和各种智能控制等方面. 分类 电阻式触摸按键:是由多块导电薄膜按照按键 ...

  2. 【FPGA基础快速入门6】实战-----触摸按键控制LED灯的学习

    [FPGA基础快速入门6]实战-----触摸按键控制LED灯的学习 触摸按键的种类 触摸按键主要可以分为四大类: 电阻式.电容式.红外感应式以及表面声波式. 1.电阻式触摸按键又多块导电薄膜按照按键的 ...

  3. 【正点原子FPGA连载】第十一章 触摸按键控制LED灯实验 -摘自【正点原子】领航者ZYNQ之FPGA开发指南_V2.0

    1)实验平台:正点原子领航者ZYNQ开发板 2)平台购买地址:https://item.taobao.com/item.htm?&id=606160108761 3)全套实验源码+手册+视频下 ...

  4. verilog学习笔记- 12)触摸按键控制LED灯实验

    目录 简介: 实验任务: 硬件设计: 程序设计: 下载验证: 简介: 触摸按键主要可分为四大类:电阻式.电容式.红外感应式以及表面声波式.根据其属性的不同,每种触摸按键都有其合适的使用领域. 电阻式触 ...

  5. 触摸按键控制LED灯

    这里采用电容式触摸按键,电容式触摸按键主要由按键IC部分和电容部分构成:按键IC用于将电容的变化转换为电信号:电容部分指的是由电容极板.地.隔离区等组成触摸按键的电容环境.电容式触摸按键主要是为了弥补 ...

  6. 触摸按键控制 LED 灯实验

    1 触摸按键简介 触摸按键主要可分为四大类:电阻式.电容式.红外感应式以及表面声波式.根据其属性的不同,每 种触摸按键都有其合适的使用领域. 电阻式触摸按键由多块导电薄膜按照按键的位置印制而成,但由于 ...

  7. FPGA入门(5):控制LED灯

    文章目录 第17讲:触摸按键控制LED灯 第18讲:流水灯 第19讲:呼吸灯 第20讲:状态机 第21讲:无源蜂鸣器驱动实验 第17讲:触摸按键控制LED灯 触摸按键可分为四大类:电阻式.电容式.红外 ...

  8. led计数电路实验报告_「正点原子FPGA连载」第八章 按键控制LED灯实验

    1)实验平台:正点原子开拓者FPGA开发板 2)本实例源码下载:请移步正点原子官网 第八章 按键控制LED灯实验 按键是常用的一种控制器件.生活中我们可以见到各种形式的按键,由于其结构简单,成本低廉等 ...

  9. ZYNQ FPGA控制LED灯不闪烁

    1.1 ZYNQ FPGA控制LED灯不闪烁 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)ZYNQ FPGA控制LED灯不闪烁: 5)结束语. 1.1.2 本节引言 ...

最新文章

  1. Python小知识点(3)--装饰器
  2. F#创建者Don Syme谈F#设计原则
  3. Call Exec in PeopleCode
  4. python 管理windows客户端_在远程windows客户端上执行python脚本
  5. java 纳秒 毫秒_golang的time包:秒、毫秒、纳秒时间戳输出方式
  6. MATLAB学习笔记(六)
  7. 步道乐跑怎么刷公里_车子一年跑不到5000公里,怎么保养比较好?这些方法最省钱...
  8. 汉诺塔移动次数递归算法c语言,谁知道C语言汉诺塔递归算法及其详细注释?
  9. 外贸人必收藏开发客户、找邮箱等高效工具
  10. 基于MATLAB的运动模糊图像恢复技术
  11. Android Doze模式
  12. 联想小新触摸板驱动_如何下载并安装触控板驱动
  13. Python爬虫技巧一之设置ADSL拨号服务器代理
  14. java 下载junit的jar包_junit4下载-Junit4.11完整包【附使用方法】-东坡下载
  15. 《凡人修真2》 架设
  16. java计算平面度,关于平板平面度的计算方法?
  17. 气动元件-单双作用气缸及电磁阀
  18. 回车和换行,以及不同软件对敲回车键的操作
  19. 使用Matlab计算趋势
  20. 我眼中的光明·第一周

热门文章

  1. 新手用户如何选购UCLOUD优刻云主机的配置?
  2. 2023年天猫618淘宝大赢家每日1猜:哪系列是NB夏日潮流必备?今日答案是什么?淘宝天猫618红包口令怎么领取?
  3. 充电宝不耐用怎么恢复?2022最耐用的充电宝排行榜
  4. 报数,报数啦!(讲义)
  5. UNKNOWN.RPT 无法将请求提交后台处理
  6. 2021年IEEE数字化社会与智能系统国际学术会议 (IEEE-DSInS 2021)
  7. 大型HR源代码人力资源管理(源码100%)
  8. 1-计算机系统概述(OS)
  9. 全球与中国船舶航行数据记录仪市场深度研究分析报告
  10. java单引号替换_java中把某个字符串中的单引号替换成双引号