数据与文字的表示

  • 计算机中使用的数据可分成两大类:

    • 符号数据:非数字符号的表示(ASCII、汉字、图形等)
    • 数值数据:数字数据的表示方式(定点、浮点)
  • 计算机数字和字符的表示方法应有利于数据的存储、加工(处理)、传送;
  • 编码:用少量、简单的基本符号,选择合适的规则表示尽量多的信息,同时利于信息处理(速度、方便)

图像编码方式

  • 模拟图像:可以用连续的函数来表示其特点是光照位置和光照强度均为连续函数
    模拟电视:是指从电视图像信号的产生、传输、处理到接收机的复原,整个过程几乎都是在模拟体制下完成的电视系统或电视设备。
    缺点:易受干扰、色度畸变、亮色串扰、大面积闪烁、清晰度低、多噪声和现场感弱等。
  • 数字图像:主要是不可见图像需要计算机加以处理才能显示可以
    又称数码图像或数位图像,是二维图像用有限数字数值像素的表示。
    由数组或矩阵表示,其光照位置和强度都是离散的。
    数字图像是由模拟图像数字化得到的、以像素为基本元素的、可以用数字计算机或数字电路存储和处理的图像。
  • 图像数字化过程
    图像的数字化过程主要分采样、量化与编码三个步骤。

    1. 采样的实质就是要用多少点来描述一幅图像,采样结果质量的高低就是用前面所说的图像分辨率来衡量。
    2. 量化是指要使用多大范围的数值来表示图像采样之后的每一个点。量化的结果是图像能够容纳的颜色总数,它反映了采样的质量。
    3. 数字化后得到的图像数据量十分巨大,必须采用编码技术来压缩其信息量。在一定意义上讲,编码压缩技术是实现图像传输与储存的关键。已有许多成熟的编码算法应用于图像压缩。常见的有图像的预测编码、变换编码、分形编码、小波变换图像压缩编码等。

数据格式

10进制与R进制转换

∑i=n−mki×ri\displaystyle \sum^{-m}_{i=n}{k_i \times r^i}i=n∑−m​ki​×ri
转换规则:

  1. 整数部分:除rrr取余,rrr为进制基数
  2. 小数部分:乘rrr取整

数值数据

计算机在数据、文字的表示方式时,应该考虑一下几个因素:

  1. 表示的数据类型(符号、小数点、数值)
  2. 数值的范围
  3. 数值精度
  4. 存储、处理、传送的硬件代价

计算机常用的数据表示格式

  • 定点表示:小数点位置固定

    • 所有数据的小数点位置固定不变
    • 理论上位置可以任意,但实际上将数据表示有两种方法(小数点位置固定-定点表示法):
    • 纯小数:固定在数据最高位之前,不需要专门的存放位置
    • 纯整数:固定在数据最低位之后, 不需要专门的存放位置
  • 定点缺点: 定点数表示数的范围受字长限制,表示数的范围有限;
    • 定点表示的精度有限
    • 机器中,常用定点纯整数表示;
    • 不能够表示实数范围内的所有数
  • 浮点表示:小数点位置不固定
    • 表示格式:N=RE.MN = R^E.MN=RE.M ( N: 基数、E:指数、M:尾数)
    • 储存格式:∥阶符∥阶码∥数符∥尾数∥\|阶符\|阶码\|数符\|尾数\|∥阶符∥阶码∥数符∥尾数∥
  • IEEE754标准(规定了浮点数的表示格式,运算规则等)
  • 规则规定了单精度(32)和双精度(64)的基本格式.
    • 规则中,尾数用原码,指数用移码(便于对阶和比较)
    • 基数R=2,基数隐含且固定
    • 32位: ∥阶符31∥30阶码23∥22尾数0∥\|阶符^{31}\|^{30}阶码^{23}\|^{22}尾数^{0}\|∥阶符31∥30阶码23∥22尾数0∥
      S:数的符号位,1位,在最高位,“0”表示正数,“1”表示负数。
      M是尾数, 23位,在低位部分,采用纯小数表示
      E是阶码,8位,采用移码表示。移码比较大小方便。
      规格化: 若不对浮点数的表示作出明确规定,同一个浮点数的表示就不是惟一的。
      尾数域最左位(最高有效位)总是1, 故这一位经常不予存储,而认为隐藏在小数点的左边。
      采用这种方式时,将浮点数的指数真值e变成阶码E时,应将指数e
      加上一个固定的偏移值127(01111111),即E=e+127。
    • 64位: ∥阶符63∥62阶码52∥51尾数0∥\|阶符^{63}\|^{62}阶码^{52}\|^{51}尾数^{0}\|∥阶符63∥62阶码52∥51尾数0∥
  • 浮点数表示范围:∥负上溢∥负浮点数∥负下溢∥0∥正下溢∥正浮点数∥正上溢∥\|负上溢\|负浮点数\|负下溢\|0\|正下溢\|正浮点数\|正上溢\|∥负上溢∥负浮点数∥负下溢∥0∥正下溢∥正浮点数∥正上溢∥

例题:

  1. 若浮点数x的754标准存储格式为(41360000)16,求其浮点数的十进制数值。
    解:将16进制数展开后,可得二制数格式为
    0 1000 0010 011 0110 0000 0000 0000 0000
    S 阶码(8位) 尾数(23位)
    指数e=阶码-127=10000010-01111111=00000011=(3)10
    包括隐藏位1的尾数
    1.M=1.011 0110 0000 0000 0000 0000=1.011011
    于是有
    x=(−1)S×1.M×2e=+(1.011011)×23=+1011.011=(11.375)10x=(-1)S×1.M×2e=+(1.011011)×2^3=+1011.011=(11.375)10x=(−1)S×1.M×2e=+(1.011011)×23=+1011.011=(11.375)10

  2. 将数(20.59375)10转换成754标准的32位浮点数的二进制存储格式。
    解:首先分别将整数和分数部分转换成二进制数
    20.59375=10100.1001120.59375=10100.1001120.59375=10100.10011
    然后移动小数点,使其在第1,2位之间
    10100.10011=1.010010011×2410100.10011=1.010010011×2^410100.10011=1.010010011×24
    e=4e=4e=4于是得到:
    S=0,E=4+127=131,M=010010011S=0, E=4+127=131, M=010010011S=0,E=4+127=131,M=010010011
    最后得到32位浮点数的二进制存储格式为:
    01000001101001001100000000000000=(41A4C000)160 1000 0011 01001001100000000000000= (41A4C000)1601000001101001001100000000000000=(41A4C000)16

十进制数串的表示

字符串形式

  • BCD(压缩)
  • 编码方式
  • 有权码: (8421码、2421码、5211码)
  • 无权码: (余三码、格雷码)
  • 自定义数据表示

编码表

  • 4位有权码
  • 4位无权码

数的机器码表示

  • 真值:一般书写的数
  • 机器码:机器中表示的数, 要解决在计算机内部数的正、负符号和小数点运算问题。
    • 原码
    • 反码
    • 补码
    • 移码

原码表示法

定点小数 x0.x1x2…xnx_0.x_1x_2…x_nx0​.x1​x2​…xn​

表达式 条件
[x]原=x[x]_原 = x[x]原​=x 1>x≥01>x\geq{0}1>x≥0
[x]原=1−(−x)[x]_原 = 1-(-x)[x]原​=1−(−x) 0>x≥−10>x\geq{-1}0>x≥−1
  • 有正0和负0之分
  • 范围2-n-1~1- 2-n

定点整数x0x1x2…xnx_0x_1x_2…x_nx0​x1​x2​…xn​

表达式 条件
[x]原=x[x]_原 = x[x]原​=x 2n>x≥02^n>x\geq{0}2n>x≥0
[x]原=1−(−x)[x]_原 = 1-(-x)[x]原​=1−(−x) 0>x≥−2n0>x\geq{-2^n}0>x≥−2n
  • 有正0和负0之分
  • 范围 1−2n至2n–11 - 2^n 至 2^n – 11−2n至2n–1

原码特点:

  • 表示简单,易于同真值之间进行转换,实现乘除运算规则简单。
  • 进行加减运算十分麻烦。

补码表示法

补码是在“模”和“同余”的概念下导出的。“模”是指一个计量系统的计量范围,即产生“溢出”的量。
5−2=5+10(MOD12)5+(−2)=5+10(MOD12)−2=10(MOD12)5-2=5+10 (MOD 12)\\ 5+(-2)=5+10 (MOD 12)\\ -2=10 (MOD 12) 5−2=5+10(MOD12)5+(−2)=5+10(MOD12)−2=10(MOD12)
在模为12的情况下,-2的补码 就是10。一个负数用其补码代替,同样可以得到正确的运算结果。

定义: 正数的补码就是正数的本身,负数的补码是原负数加上模。
定点小数

表达式 条件
[x]原=x[x]_原 = x[x]原​=x 1>x≥01>x≥01>x≥0
[x]原=2+x[x]_原 = 2+x[x]原​=2+x 0≥x≥−10≥ x≥ -10≥x≥−1
  • 有正0和负0之分

定点整数

表达式 条件
[x]原=x[x]_原 = x[x]原​=x 2n>x≥02^n>x≥02n>x≥0
2n+1+x2n+1+x2n+1+x 0≥x≥−2n0≥x ≥ -2^n0≥x≥−2n
  • 有正0和负0之分

补码性质

  • 高位表明正负
  • 正数补码,尾数与原码相同
  • 范围-2n~2n-1(定点整数)

变相补码(双符号补码)

  • 为了防止溢出而设定

优点
最大的优点就是将减法运算转换成加法运算。
[X]补−[Y]补=[X]补+[−Y]补[X]补-[Y]补= [X]补+[-Y]补[X]补−[Y]补=[X]补+[−Y]补
补码满足 [−x]补+[x]补=0[-x]补+ [x]补=0[−x]补+[x]补=0

  • 无正零和负零之分
  • 补码编码的简便方法
  • 正数的补码在其二进制代码前加上符号位0;
  • 负数的补码是将二进制代码前加0后,再全部按位取反,然后在最低位上加1。

由原码求补码的简便原则:

  1. 除符号位以外,其余各位求反,末位加1。
    [X]原=10101011[X]补=11010101[X]原= 1 0 1 0 1 0 1 1\\ [X]补= 1 1 0 1 0 1 0 1 [X]原=10101011[X]补=11010101
  2. 除符号位以外,其余各位按位取反,从最低位开始遇到的第一个1以前的各位保持不变。
    [X]原=110110100[X]补=101001100[X]原= 1 1 0 1 1 0 1 0 0\\ [X]补= 1 0 1 0 0 11 0 0 [X]原=110110100[X]补=101001100
  3. 由[X]补求[-X]补: 连符号位一起各位求反,末位加1。
    [X]补=11010101[−X]补=00101011[X]补= 1 1 0 1 0 1 0 1\\ [-X]补= 0 0 1 0 1 0 1 1 [X]补=11010101[−X]补=00101011
  4. 由[X]补求[X/2]补: 将[X]补的符号位和数值位一起向右移动一次.符号位移走后保持原来的值不变.
    [X]补=10101000[X/2]补=110101000[X]补= 1 0 1 0 1 0 0 0\\ [X/2]补= 1 1 0 1 0 1 0 0 0 [X]补=10101000[X/2]补=110101000
    例题
  5. [+7]补=00111 [-7]补=11001
  6. X=(11)10=(1011)2
    Y=(5)10=(0101)2
    解:已知字长n=5位
    [X]补-[Y]补 =[X]补+[-Y]补
    =01011+11011=100110=00110=(6)10
    注: 最高1位已经超过字长故应丢掉

反码表示法

定义:正数的表示与原、补码相同,负数的符号位为1,数值位是将原码的数值按位取反,就得到该数的反码表示。

  • 电路容易实现,触发器的输出有正负之分。
  • 反码表示有正0和负0之分

定点小数x0.x1x2…xnx_0.x_1x_2…x_nx0​.x1​x2​…xn​

表达式 条件
[x]反=x[x]_反 = x[x]反​=x 1>x≥01>x≥01>x≥0
[x]反=2+x–2−n[x]_反 =2+x –2-n[x]反​=2+x–2−n 0≥x>−10≥x >-10≥x>−1

定点整数x0x1x2…xnx_0x_1x_2…x_nx0​x1​x2​…xn​

表达式 条件
[x]反=x[x]_反 = x[x]反​=x 0≤X<2n0 ≤ X < 2n0≤X<2n
[x]反=(2n+1–1)+X[x]_反 =( 2n+1 –1)+ X[x]反​=(2n+1–1)+X −2n<X≤0- 2n < X ≤ 0−2n<X≤0

移码表示法

移码表示法(表示浮点数的阶码)

表达式 条件
[x]移=2n+x[x]移=2^n+x[x]移=2n+x 2n>x≥−2n2^n >x≥-2^n2n>x≥−2n
  • 定点整数定义
  • 00000000−11111111(−2n至2n−1)00000000-11111111(-2^{n} 至 2^n-1)00000000−11111111(−2n至2n−1)
  • 由[X]补求[X]移:只要将[X]补的符号位求反,就可得到[X]移。
  • 移码与补码有相同的表达能力。也就是说,给定相同的机器字长,它们的表达范围相同。
  • 0的表示唯一
  • 一个真值的移码和补码仅仅相差一个符号位。无论正负。
  • 移码全0时,对应的真值最小值−2n。移码全1时,对应真值的最大值2n−1。
  • 移码保持了数据原有的大小顺序,移码大真值就大,移码小,真值就小。

性质:
若[X1]移>[X2]移,则有 X1>X2.

例 +1011111

  • 原码为01011111
  • 补码为01011111
  • 反码为01011111
  • 移码为 11011111

例-1011111

  • 原码为11011111
  • 补码为10100001
  • 反码为10100000
  • 移码为00100001

定点加法、减法运算

补码加减法

补码加法
公式:[x+y]补=[x]补+[y]补
补码减法
为了将减法转变为加法,需证明公式:(证明略)
[x-y]补=[x]补+[-y]补

例题:

  1. x=-0.1011,y=0.0111
    [x]补=1.0101 [y]补=0.0111
    [x+y]补=[x]补+[y]补=1.0101+0.0111=1.1100

  2. x=+0.11011,y=-0.11111
    [x]补=0.11011 [y]补=1.00001 [-y]补=0.11111
    [x-y]补=[x]补+[-y]补=1.11010

溢出检测

溢出的检测
可能产生溢出的情况

  • 两正数加,变负数,上溢(大于机器所能表示的最大数)
  • 两负数加,变正数,下溢(小于机器所能表示的最小数)

检测方法

  1. 双符号位法
Sf1 SF2 结果
0 0 正确(+)
0 1 上溢
1 0 下溢
1 1 正确(-)

检验举例:
x=+0.1100, y=+0.1000,求x+y
[x]补=00.1100 [y]补=00.1000
[x+y]补= [x]补+ [y]补=01.0100

x=-0.1100, y=-0.1000,求x+y
[x]补=1.0100 [y]补=1.1000
[x]补+[y]补=1.0100+1.1000=10.1100

结果出现了01或10的情况就为溢出

  1. 单符号位法
    Cf为符号位产生的进位,C0为最高有效位产生
Cf C0 结果
0 0 正确(+)
0 1 上溢
1 0 下溢
1 1 正确(-)

基本的加法和减法器

  • 半加器
    Hi=Ai⊕ Bi 不考虑进位
  • 全加器
    考虑低位进位Ci-1和向高位的进位C

定点乘法运算、定点补码乘法

定点原码乘法

  • 在现有的加法和减法器的基础上增加适当的以
  • 为线路及控制逻辑可以实现
  • 用LSI和VLSI工艺实现专用的乘法器
  • 编制子程序(单片机等低端机器)

定点原码乘法原理
乘积符号的运算法则是:

  • 同号相乘为正,异号相乘为负。
  • 由于被乘数和乘数和符号组合只有四种情况(xfyf=00,01,10,11),因此积的符号可按“异或”(按位加)运算得到。
  • 位数仿一般乘法
    [x]原=xf.xn−1…x1x0[y]原=yf.yn−1…y1y0[x.y]原=(xf⊕yf)+(0.xn−1…x1x0)×(0.yn−1…y1y0)[x]原=x_f.x_n-1…x1_x0\\ [y]原=y_f.y_n-1…y_1y_0\\ [x.y]原=(x_f ⊕ y_f)+(0. x_{n-1}…x_1x_0)\times(0. y_{n-1}…y_1y_0) [x]原=xf​.xn​−1…x1x​0[y]原=yf​.yn​−1…y1​y0​[x.y]原=(xf​⊕yf​)+(0.xn−1​…x1​x0​)×(0.yn−1​…y1​y0​)
  • n位乘n位积可能为2n位.
  • 乘积的最后是所有部分积之和,有n个数相加,而FA(全加器)只有两个输入端所以需要改造
    • 方法一:硬件实现方法(串行的“加法和移位”),硬件结构简单,速度太慢(时间延迟太长).
    • 方法二:不带符号位的阵列乘法器

例题:

  1. x=+15 y=-13,用带求补器原码乘法器, 求x.y=? (输入/出:为原码)
    [x]原=01111,[y]原=11101
    符号位单独考虑|x|=1111 |y|=1101
    符号位0⊕1=1
    算后求补输出为11000011,加上符号位1,得[x*y]原=111000011
    换算成二进制真值x.y=(-11000011)2=(-195)10

  2. x=-15 y=-13用带求补器补码乘法器求,x.y=?(输入/出:为补码)
    [x]补=10001 ,[y]补=10011
    尾数部分算前求补
    |x|=1111 |y|=1101
    符号乘积为1 ⊕1=0
    算后求补器输出为 11000011
    补码乘积为[x.y]补=011000011
    补码的二进制真值 x.y=(+195)10

浮点运算方法和浮点运算器

浮点加减运算

设有两个浮点数x和y,它们分别为
x=2Ex⋅Mxy=2Ey⋅Myx=2 ^{E_x}·M_x\\ y=2^{E_y}·M_yx=2Ex​⋅Mx​y=2Ey​⋅My​
其中ExE_xEx​和EyE_yEy​分别为数x和y的阶码
MxM_xMx​和MyM_yMy​为数x和y的尾数。
两浮点数进行加法和减法的运算规则是
x±y=(Mx2Ex-Ey±My)2Ey,当Ex<=Eyx±y=(M_x2^{E_x-E_y}±M_y)2^{E_y},当 E_x<=E_y x±y=(Mx​2Ex​-Ey​±My​)2Ey​,当Ex​<=Ey​

计算步骤

  • 0 操作数的检查,看有无简化操作的可能;如果有一个数为0,不必进行后续运算
  • 比较阶码大小并完成对阶(小阶向大阶对齐);小数点位置必须对齐,阶码相同,小阶的尾数向右移位(小数点左移)
  • 尾数进行加或减运算;
  • 结果规格化并进行舍入处理:尾数运算结果会产生溢出如01.或10…即两符号位不相等。向右规格化(尾数右移1位,阶码加1)

实例: 设x=22×0.11011011, y=-24×0.10101100

  1. 0操作数检查(非0)
  2. 对阶:阶码对齐后才能加减。规则是阶码小的向阶码大的数对齐;
    x=00100 , 0.00110110(11)
  3. 尾数相加
    00.00110110(11)+11.01010100=11.10001010(11)
  4. 结果规格化
    1. (1)在浮点加减运算时,尾数求和的结果也可以得到01.ф…ф或10.ф…ф,即两符号位不等,此时将运算结果右移以实现规格化表示,称为向右规格化。规则:尾数右移1位,阶码加1
    2. 结果是00.0…01…或11.1…10…时,则向左规格化规则:尾数左移1位,阶码减1,直到规格化
      右规,阶码加1,左规,阶码减1

刚才例子左规为11.00010101(10),阶码减1为00011
练习:01.1101 10.0001 11.1001

浮点加法、减法运算

舍入处理(对阶和向右规格化时)

  • 就近舍入(0舍1入):类似”四舍五入”,丢弃的最高位为1,进1
  • 朝0舍入:截尾
  • 朝+∞舍入:正数多余位不全为”0”,进1;负数,截尾
  • 朝-∞ 舍入:负数多余位不全为”0”,进1;正数,截尾

溢出判断和处理

  • 阶码上溢,一般将其认为是+∞和-∞ 。
  • 阶码下溢,则数值为0
  • 尾数上溢,两个同符号位的数相加,处理方法是尾数右移,阶码 加1。
  • 尾数下溢。尾数右移时,最低位从最右端流出。要进行舍入处理 。

尾数用原码表示时,舍入比较简单:

  • 只要尾数的最低位为1,或移出的几位中有1的数值位,就是最低位的值为1.  另一种是0舍入法,当丢弃的最高位的值为1时,把这个1加到最低数值位上进行修正,否则舍弃丢失的各位的值尾数用补码表示:舍入规则与原码相同
  • 丢弃的各位均为0时,不舍不入
  • 丢弃的最高位为0时,以下各位不全为0或丢失的最高位为1,以下各位均为0时,舍去丢失位上的值
  • 丢失的最高位为1,以下各位不全为0时,执行在尾数最低位入1的修正操作

字符的表示

  • **符号数据:**字符信息用数据表示,如ASCII等;
  • 字符表示方法ASCII:用一个字节来表示,低7位用来编码(128),最高位为校验位
  • 字符串的存放方法

汉字的表示

汉字的表示方法(一级汉字3755个,二级汉字3008个)

  • 输入码

    • 国标码

      • 一级(16~55)*94
      • 二级(56~87)*94
      • 图形符号(682个)(01~09)*94
    • 拼音、五笔
  • 汉字内码:汉字信息的存储,交换和检索的机内代码,两个字节组成,每个字节高位都为1(区别于英文字符)
  • 汉字字模码:汉字字形
    • 点阵
    • 汉字库

校验码

引入:信息传输和处理过程中受到干扰和故障,容易出错。

  • 解决方法:是在有效信息中加入一些冗余信息(校验位)

奇偶校验

设x=(x0x1…xn-1)是一个n位字
则奇校验位C定义为:C=x0⊕x1⊕…⊕xn-1,式中⊕代表按位加,表明只有当x中包含有奇数个1时,C=0。同理可以定义偶校验。

  • 只能检查出奇数位错;不能纠正错误。
  • 其它还有Hamming,CRC

数据校验码

思路:加入一些冗余码,使合法数据编码出现某些错误时,就成为非法编码。即编码过程中,使一部分编码对应合法编码,另一部分编码对应非法编码,这些非法编码用于指出或纠正错误。
码距:任意两个合法编码之间所变化的二进制位数。为1,无查错纠错能力;为2,…就有了

码距

所谓码距,是指一个编码系统中任意两个合法编码之间至少有多少个二进制位不同。

  • 例如我们现在有一套编码为:00,01,10,11,在这套编码中至少有一个数字不相同,我们称这套编码的码距为1。

  • 码距越大,查错纠错能力越强,但增加了数据存储和传送的开销,从而使硬件开销增加。

  • 奇偶校验码 用于并行数据传送中

  • 海明校验码 用于并行数据传送中

  • 循环冗余校验码 用于串行数据传送中

奇偶校验码

优点:实现简单
缺点:只能查出一位或奇数位错,且不能纠错,对于偶数位错更是无能为力。

海明校验码

是由Richard Hamming于1950年提出的。这种编码能纠正一位出错,并能自动恢复出错位的校验码。

  • 海明不等式:2r≥k+r+1
  • 如要能检测与自动校正一位错,并能发现两位错,此时校验位的位数r和数据位的位数k应满足下述关系:
    2r-1≥k+r
    假设有r个校验位,一个位有0或1两种情况,r个位就有2r种排列情况,能表示2r种状态。其中一个状态用来表示正确
    的情况。其余的2r-1种状态来表示错误发生在哪一位。总共有k+r位,所以2r-1>=k+r。

循环冗余校验码(CRC)

用于多位串行数据传送中的检错纠错处理,在 k 位数据位串行移位输出的过程中,用带有异或门控制的移位寄存器形成r 个校验位的值,跟随在数据位之后传送走。在接收端再对 k+r 位的码字进行合法与出错检查,若可能则自动改错。

模2四则运算是以2为模,按位相加的运算,在运算中不考虑借位和进位。

  • 加减法:0±0=0,0±1=1,1±0=1,1±1=0
  • 乘法:按模2加求部分积之和
  • 除法:按模2 减求部分余数

计算机组成原理-第二章 运算方法和运算器相关推荐

  1. 浮点加法器计算机组成原理,计算机组成原理 第二章运算方法与运算器

    第二章运算方法与运算器 运算方法:指算术(四则)运算和逻辑运算,在运算器的实现方法,而实现这两种运算的硬设备就是算术逻辑部件ALU(Arithmetic and Logic Unit)或称运算器 由于 ...

  2. 计算机组成与结构第二章ppt,计算机组成原理(华科版)第二章 运算方法与运算器.ppt...

    文档介绍: 第二章运算方法与运算器 2.1 数据信息的表示方法 2.1.1数值数据的表示 淘在盛纬娃消镶勉桌乡利亚钦沙隐酋谴牲斗丙茸乔泛戊篡汽栽辫滔矮空芥计算机组成原理(华科版)第二章运算方法与运算器 ...

  3. 计算机组成原理中01010110,计算机组成原理第二章教案.ppt

    文档介绍: 第二章 运算方法与运算器 运算方法和运算器 http://zcylytueducn/ 姥轮赃哮仗七二促滨者凶疹觅轮啦茸仟竟仔份脂溪谓偷兄擒释阮芹尘付蔫计算机组成原理第二章教案计算机组成原理 ...

  4. 计算机组成原理(微课版) -- 第三章 -- 运算方法与运算器

    第三章根据书本[计算机组成原理(微课版) ]进行整理 文章目录 第三章 -- 运算方法与运算器 3.2 定点加减法运算 3.2.1 补码加减法运算方法 1. 补码加法 2. 补码减法 3.2.2 溢出 ...

  5. 计算机组成原理-第二章 数据表示与运算

    计算机组成原理-第二章 数据表示与运算 一.数据的表示 1.数值型数据的表示(重点难点) 1.1数值型数据的表示--进位制 1.2数值型数据表示-码制 1.3数值型数据的表示--定点数 1.4数值型数 ...

  6. 计算机的定点运算器原理,计算机组成原理第二章第10讲定点运算器的组成.ppt

    <计算机组成原理第二章第10讲定点运算器的组成.ppt>由会员分享,可在线阅读,更多相关<计算机组成原理第二章第10讲定点运算器的组成.ppt(20页珍藏版)>请在装配图网上搜 ...

  7. 计算机组成原理机器码怎么求,计算机组成原理第二章第3讲数的机器码表示.ppt...

    <计算机组成原理第二章第3讲数的机器码表示.ppt>由会员分享,可在线阅读,更多相关<计算机组成原理第二章第3讲数的机器码表示.ppt(55页珍藏版)>请在人人文库网上搜索. ...

  8. 计算机组成原理第二章数据,计算机组成原理第二章数据在计算机中的表示

    计算机组成原理第二章数据在计算机中的表示 (91页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 14.90 积分 第二章 数据在计算机中的表示 n 概述 ...

  9. 计算机组成原理组间串行进位,计算机组成原理第二章课件.ppt

    <计算机组成原理第二章课件.ppt>由会员分享,提供在线免费全文阅读可下载,此文档格式为ppt,更多相关<计算机组成原理第二章课件.ppt>文档请在天天文库搜索. 1.2.5 ...

最新文章

  1. Java之戳中痛点 - (4)i++ 和 ++i 探究原理
  2. 如何写出一份优秀的软件设计文档
  3. springboot使用Thymeleaf引入html文件
  4. git删除远程已经没有的分支
  5. C++Adaline自适应线性神经网络算法(附完整源码)
  6. StackBlitz里无法重命名项目的问题
  7. linux—命令汇总
  8. 我用Python帮学校写了一款图书管理系统!教导员居然请我吃饭
  9. DOTNET零碎总结---VB.NET修改数据存在多个txtbox时,SQL语句的操作
  10. linux如何设置服务器上的系统时间
  11. ucos信号量集源码分析
  12. 电子邮件验证及部分常用正则表达式
  13. 添加Bigboss源
  14. 电信副卡显示无服务器,电信副卡,你从来就是一个陷阱吗?
  15. 联想y7000/r7000 2020款加装固态硬盘及硬盘分区,硬盘测速方法
  16. HTML——3D旋转+动画 旋转木马案例
  17. quartz mysql 表 集群配置_Spring整合实战丨Quartz 集群配置
  18. EDG 赢了,程序员们坐不住了.....
  19. 如果男生过了五十岁突然失业了,你觉得最好的谋生办法是什么?
  20. 今天睡眠质量记录74分

热门文章

  1. s8 android调用相机,改善画质无需硬件:当Galaxy S8遇上谷歌Pixel相机
  2. 物联网设备测试工具及方法简介
  3. 小书匠 导出html,小书匠编辑器使用手册(示例代码)
  4. Visual Studio 2013中引入Web Service的简单方法visual studio 引用 wsdl
  5. Linux下应用程序扫描并自动挂载u盘
  6. i.MX6ULL驱动开发 | 30 - 使用EC20 4G网卡(移植移远GobiNet驱动)
  7. Geogebra下载【linux,MacOS,windows,iOS, Android】
  8. JAVA计算机毕业设计理发店会员管理系统(附源码、数据库)
  9. 移动APP测试点、思路分析总结(精炼)
  10. Silabs bootloader fundamentals